中值滤波法是一种非线性平滑技术,它将每一像素点的灰度值设置为该点某邻域窗口内
的所有像素点灰度值的中值.
中值滤波是基于排序统计理论的一种能有效抑制噪声的非线性信号处理技术,中值滤波
的基本原理是把数字图像或数字序列中一点的值用该点的一个邻域中各点值的中值代替,让
周围的像素值接近的真实值,从而消除孤立的噪声点。方法是用某种结构的二维滑动模板,
将板内像素按照像素值的大小进行排序,生成单调上升(或下降)的为二维数据序列。二维
中值滤波输出为 g(x,y) =med{f(x-k,y-l),(k,l∈W)} ,其中, f(x,y), g(x,y)分别为原始图像和处理后图像。 W 为二维模板,通常为 33, 55 区域,也可以是不同的的形状,如线状,圆形,十字形,圆环形等。
中值滤波法对消除椒盐噪声非常有效,在光学测量条纹图象的相位分析处理方法中有特
殊作用, 但在条纹中心分析方法中作用不大。中值滤波在图像处理中,常用于保护边缘信息,
是经典的平滑噪声的方法。要得到模板中数据的中间值,首先要将数据按大小排序,然后根据有序的数字序列来找中问值。中值滤波排序的过程有很多成熟的算法,如冒泡排序、二分排序等,大多是基于微机平台的软件算法,而适合硬件平台的排序算法则比较少。

FPGA 中值滤波实现方法

如上所示,为一个 3x3 的图像模板,
第一步:
分别对三行像素进行排序:
由 L11,L12,L13 得到 L1max, L1mid, L1min;
由 L21,L22,L23 得到 L2max, L2mid, L2min;
3)由 L31,L32,L33 得到 L3max, L3mid, L3min。
第二步:
分别对三行像素中的 3 个最大, 3 个中间和 3 个最小分别进行排序:
由 L1max, L2max, L3max 得到 Lmax_max, Lmax_mid, Lmax_min;
2)由 L1mid, L2mid, L3mid 得到 Lmid_max, Lmid_mid, Lmid_min;
3)由 Lmin, L2min, L3min 得到 Lmin_max, Lmin_mid, Lmin_min;
第三步:对最大的最小(Lmax_min),中间的中间(Lmid_mid)以及最小的最大(Lmin_max)
进行排序(例:由 Lmax_min, Lmid_mid, Lmin_max 得到 midian)。

`timescale 1ns/1psmodule median_filter(input             clk,input             rst_n,input [15:0]      data_in,input             data_in_en,input             hs_in,input             vs_in,output[15:0]      data_out,output            data_out_en,output            hs_out,output            vs_out);wire [15:0] line0;
wire [15:0] line1;
wire [15:0] line2;//--------------------------------------
//pipeline control signal
//--------------------------------------
reg         hs0;
reg         hs1;
reg         hs2;reg         vs0;
reg         vs1;
reg         vs2;reg         de0;
reg         de1;
reg         de2;
//-------------------------------------
//pipeline data
//-------------------------------------
reg [15:0] line0_data0;
reg [15:0] line0_data1;
reg [15:0] line0_data2;reg [15:0] line1_data0;
reg [15:0] line1_data1;
reg [15:0] line1_data2;reg [15:0] line2_data0;
reg [15:0] line2_data1;
reg [15:0] line2_data2;//--------------------------------------
//define line max mid min
//--------------------------------------
reg [15:0] line0_max;
reg [15:0] line0_mid;
reg [15:0] line0_min;reg [15:0] line1_max;
reg [15:0] line1_mid;
reg [15:0] line1_min;reg [15:0] line2_max;
reg [15:0] line2_mid;
reg [15:0] line2_min;//----------------------------------------------
// define //max of min //mid of mid// min of max
//----------------------------------------------reg [15:0] max_max;
reg [15:0] max_mid;
reg [15:0] max_min;reg [15:0] mid_max;
reg [15:0] mid_mid;
reg [15:0] mid_min;reg [15:0] min_max;
reg [15:0] min_mid;
reg [15:0] min_min;//---------------------------------------------
// define mid of mid
//---------------------------------------------reg [15:0] mid;line3x3 line3x3_inst(.clken(data_in_en),.clock(clk),.shiftin(data_in),.shiftout(),.taps0x(line0),.taps1x(line1),.taps2x(line2));//----------------------------------------------------
//delay control signal
//----------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginhs0 <= 1'b0;hs1 <= 1'b0;hs2 <= 1'b0;vs0 <= 1'b0;vs1 <= 1'b0;vs2 <= 1'b0;de0 <= 1'b0;de1 <= 1'b0;de2 <= 1'b0;endelse if(data_in_en) beginhs0 <= hs_in;hs1 <= hs0;hs2 <= hs1;vs0 <= vs_in;vs1 <= vs0;vs2 <= vs1;de0 <= data_in_en;de1 <= de0;de2 <= de1;  end
end
//----------------------------------------------------
// Form an image matrix of three multiplied by three
//----------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginline0_data0 <= 16'b0;line0_data1 <= 16'b0;line0_data2 <= 16'b0;line1_data0 <= 16'b0;line1_data1 <= 16'b0;line1_data2 <= 16'b0;line2_data0 <= 16'b0;line2_data1 <= 16'b0;line2_data2 <= 16'b0;endelse if(data_in_en) beginline0_data0 <= line0;line0_data1 <= line0_data0;line0_data2 <= line0_data1;line1_data0 <= line1;line1_data1 <= line1_data0;line1_data2 <= line1_data1;line2_data0 <= line2;line2_data1 <= line2_data0;line2_data2 <= line2_data1;     endelse ;
end
//-----------------------------------------------------------------------------------
//(line0 line1 line2) of (max mid min)
//-----------------------------------------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginline0_max <= 16'd0;line0_mid <= 16'd0;line0_min <= 16'd0;endelse if(data_in_en) beginif((line0_data0 >= line0_data1) && (line0_data0 >= line0_data2)) beginline0_max <= line0_data0;if(line0_data1 >= line0_data2) beginline0_mid <= line0_data1;line0_min <= line0_data2;end else beginline0_mid <= line0_data2;line0_min <= line0_data1;endendelse if((line0_data1 > line0_data0) && (line0_data1 >= line0_data2)) beginline0_max <= line0_data1;if(line0_data0 >= line0_data2) beginline0_mid <= line0_data0;line0_min <= line0_data2;end else beginline0_mid <= line0_data2;line0_min <= line0_data0;endendelse if((line0_data2 > line0_data0) && (line0_data2 > line0_data1)) beginline0_max <= line0_data2;if(line0_data0 >= line0_data1) beginline0_mid <= line0_data0;line0_min <= line0_data1;end else beginline0_mid <= line0_data1;line0_min <= line0_data0;endendend
endalways @(posedge clk or negedge rst_n) beginif(!rst_n) beginline1_max <= 16'd0;line1_mid <= 16'd0;line1_min <= 16'd0;endelse if(data_in_en) beginif((line1_data0 >= line1_data1) && (line1_data0 >= line1_data2)) beginline1_max <= line1_data0;if(line1_data1 >= line1_data2) beginline1_mid <= line1_data1;line1_min <= line1_data2;end else beginline1_mid <= line1_data2;line1_min <= line1_data1;endendelse if((line1_data1 > line1_data0) && (line1_data1 >= line1_data2)) beginline1_max <= line1_data1;if(line1_data0 >= line1_data2) beginline1_mid <= line1_data0;line1_min <= line1_data2;end else beginline1_mid <= line1_data2;line1_min <= line1_data0;end   endelse if((line1_data2 > line1_data0) && (line1_data2 > line1_data1)) beginline1_max <= line1_data2;if(line1_data0 >= line1_data1) beginline1_mid <= line1_data0;line1_min <= line1_data1;end else beginline1_mid <= line1_data1;line1_min <= line1_data0;end    endend
endalways @(posedge clk or negedge rst_n) beginif(!rst_n) beginline2_max <= 16'd0;line2_mid <= 16'd0;line2_min <= 16'd0;endelse if(data_in_en) beginif((line2_data0 >= line2_data1) && (line2_data0 >= line2_data2)) beginline2_max <= line2_data0;if(line2_data1 > line2_data2) beginline2_mid <= line2_data1;line2_min <= line2_data2;end else beginline2_mid <= line2_data2;line2_min <= line2_data1;endendelse if((line2_data1 > line2_data0) && (line2_data1 >= line2_data2)) beginline2_max <= line2_data1;if(line2_data0 >= line2_data2) beginline2_mid <= line2_data0;line2_min <= line2_data2;end else beginline2_mid <= line2_data2;line2_min <= line2_data0;end     endelse if((line2_data2 > line2_data0) && (line2_data2 > line2_data1)) beginline2_max <= line2_data2;if(line2_data0 >= line2_data1) beginline2_mid <= line2_data0;line2_min <= line2_data1;end else beginline2_mid <= line2_data1;line2_min <= line2_data0;end    endend
end
//----------------------------------------------------------------------------------
// (max_max max_mid max_min) of ((line0 line1 line2) of max)
//----------------------------------------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginmax_max <= 16'd0;max_mid <= 16'd0;max_min <= 16'd0;endelse if(data_in_en) beginif((line0_max >= line1_max) && (line0_max >= line2_max)) beginmax_max <= line0_max;if(line1_max >= line2_max) beginmax_mid <= line1_max;max_min <= line2_max;end else beginmax_mid <= line2_max;max_min <= line1_max;endendelse if((line1_max > line0_max) && (line1_max >= line2_max)) beginmax_max <= line1_max;if(line0_max >= line2_max) beginmax_mid <= line0_max;max_min <= line2_max;end else beginmax_mid <= line2_max;max_min <= line0_max;endendelse if((line2_max > line0_max) && (line2_max > line1_max)) beginmax_max <= line2_max;if(line0_max >= line1_max) beginmax_mid <= line0_max;max_min <= line1_max;end else beginmax_mid <= line1_max;max_min <= line0_max;endendend
end
//------------------------------------------------------------------------------
// (mid_max mid_mid mid_min) of ((line0 line1 line2)of mid)
//------------------------------------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginmid_max <= 16'd0;mid_mid <= 16'd0;mid_min <= 16'd0;endelse if(data_in_en) beginif((line0_mid >= line1_mid) && (line0_mid >= line2_mid)) beginmid_max <= line0_mid;if(line1_mid >= line2_mid) beginmid_mid <= line1_mid;mid_min <= line2_mid;end else beginmid_mid <= line2_mid;mid_min <= line1_mid;endendelse if((line1_mid > line0_mid) && (line1_mid >= line2_mid)) beginmid_mid <= line1_mid;if(line0_mid >= line2_mid) beginmid_mid <= line0_mid;mid_min <= line2_mid;end else beginmid_mid <= line2_mid;mid_min <= line0_mid;endendelse if((line2_mid > line0_mid) && (line2_mid > line1_mid)) beginmid_max <= line2_mid;if(line0_mid >= line1_mid) beginmid_mid <= line0_mid;mid_min <= line1_mid;end else beginmid_mid <= line1_mid;mid_min <= line0_mid;endendend
end
//------------------------------------------------------------------------------
// (min_max min_mid min_min) of ((line0 line1 line2)of min)
//------------------------------------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n) beginmin_max <= 16'd0;min_mid <= 16'd0;min_min <= 16'd0;endelse if(data_in_en) beginif((line0_min >= line1_min) && (line0_min >= line2_min)) beginmin_max <= line0_min;if(line1_min >= line2_min) beginmin_mid <= line1_min;min_min <= line2_min;end else beginmin_mid <= line2_min;min_min <= line1_min;endendelse if((line1_min > line0_min) && (line1_min >= line2_min)) beginmin_max <= line1_min;if(line0_min >= line2_min) beginmin_mid <= line0_min;min_min <= line2_min;end else beginmin_mid <= line2_min;min_min <= line0_min;endendelse if((line2_min > line0_min) && (line2_min > line1_min)) beginmin_max <= line2_min;if(line0_min >= line1_min) beginmin_mid <= line0_min;min_min <= line1_min;end else beginmin_mid <= line1_min;min_min <= line0_min;endendend
end
//------------------------------------------------------------------------------
// middle
//------------------------------------------------------------------------------
always @(posedge clk or negedge rst_n) beginif(!rst_n)mid <= 16'd0;else if(data_in_en) beginif(((max_mid >= mid_mid) && (max_mid < min_mid)) || ((max_mid >= min_mid) && (max_mid < mid_mid)))mid <= max_mid;else if(((mid_mid > max_mid) && (mid_mid < min_mid)) || ((min_mid >= min_mid) && (mid_mid < max_mid)))mid <= mid_mid;else if(((min_mid > max_mid) && (min_mid < mid_mid)) || ((min_mid > mid_mid) && (mid_min < max_mid)))mid <= min_mid;endelse ;
end
//------------------------------------------------------------------------------------------------------
//result
//------------------------------------------------------------------------------------------------------
assign data_out = mid;
assign data_out_en = de2;
assign hs_out = hs2;
assign vs_out = vs2;
endmodule

中值滤波算法的Verilog实现相关推荐

  1. verilog中值滤波算法实现及仿真

    使用verilog语言实现中值滤波算法,并编写testbench进行仿真,产生波形图. 可以使用vivado.ISE.quartusII等软件均可. 对代码.测试代码.仿真结果分别进行截图,如下所示: ...

  2. 实时高速实现改进型中值滤波算法_爱学术_免费下载

    [摘要]在图像采集和处理过程中会引入噪声,必须先对图像进行预处理.本文介绍一种快速中值滤波算法,该算法在硬件平台上实现实时处理功能.综合考虑,选择现场可编程门阵列(FPGA)作为硬件平台,采用硬件描述 ...

  3. 关于中值滤波算法,以及C语言实现(转)

    源:关于中值滤波算法,以及C语言实现 1.什么是中值滤波? 中值滤波是对一个滑动窗口内的诸像素灰度值排序,用其中值代替窗口中心象素的原来灰度值,它是一种非线性的图像平滑法,它对脉冲干扰级椒盐噪声的抑制 ...

  4. 高效快速中值滤波算法c语言,快速中值滤波及c语言实现.docx

    . .. 快速中值滤波及c语言实现 学生姓名: 刘 勇 学 号: 6100410218 专业班级: 数媒101 [摘要]本文讨论了用c语言在微机上实现中值滤波及快速算法,在程序设计的过程中充分考虑到程 ...

  5. matlab中基于十字形窗口的滤波算法,#215;字形滤波窗口在Matlab自适应中值滤波算法中的应用 - 21ic中国电子网...

    由于种种原因,图像在生成.传输.变换等过程中往往会受到各种噪声的污染,从而导致图像质量退化.噪声信号的滤波是图像处理的基本任务之一,主要有线性滤波和非线性滤波两种方法.线性滤波方法一般具有低通特性,而 ...

  6. Python扩展库scipy中值滤波算法的应用

    中值滤波是数字信号处理.数字图像处理中常用的预处理技术,特点是将信号中每个值都替换为其邻域内的中值,即邻域内所有值排序后中间位置上的值.下面的代码演示了scipy库中signal模块的中值滤波算法的用 ...

  7. MATLAB自编自适应中值滤波算法

    代码原理: 在噪声密度不是很大的情况下(根据经验,噪声的出现的概率小于0.2),使用中值滤波的效果不错.但是当噪声出现的概率比较高时,原来的中值滤波算法就不是很有效了.只有增大滤波器窗口尺寸,尽管会使 ...

  8. OpenCV图像处理专栏九 | 基于直方图的快速中值滤波算法

    转载自:https://zhuanlan.zhihu.com/p/98092747  侵删 前言 这是OpenCV图像处理专栏的第9篇文章,主要介绍一个基于直方图的快速中值滤波算法,希望对大家有帮助. ...

  9. 【老生谈算法】matlab实现车牌识别中值滤波算法——车牌识别中值滤波算法

    基于Matlab的车牌识别中值滤波算法的研究与实现 1.原文下载: 本算法原文如下,有需要的朋友可以点击进行下载 序号 原文(点击下载) 本项目原文 [老生谈算法]基于Matlab的车牌识别中值滤波算 ...

最新文章

  1. samba服务器配置
  2. php 登陆 sql语句,PHP 连接MySQL数据库的SQL语句的简单示例
  3. 远程终端管理和检测系统
  4. 国密算法SM2-java实现
  5. 二、工作量证明链-区块链技术的雏形
  6. TS流解析 二 *****
  7. [Ext JS6]Ext.Template
  8. zzbower入门教程
  9. qt 串口粘包_QT C++ TCP大文件高效传输高效 解决粘包问题
  10. SPSS:T检验、方差分析、非参检验、卡方检验的使用要求和适用场景
  11. java图形界面的实验代码_GUI图形用户界面实验报告
  12. 3-8 查询水果价格 (15 分)
  13. 关于泛型中包含级联的List转化为json数据的处理
  14. 中国高端IC封装市场调查分析与投资趋势研究报告2022-2028年
  15. 计算机课程设计-基于ssm+vue的物资管理系统(前后端分离)-物资出库入库管理系统java代码
  16. vue具名插槽的使用
  17. 易烊千玺领衔,潮流自拍手机华为nova5系列发布
  18. React动画实现方案之 Framer Motion,让你的页面“自己”动起来
  19. 在线免费XPS转PDF方法分享
  20. AutoML:人工智能领域-自动化技术之机器学习自动化技术的简介(预处理→设计算法→训练模型→优化参数)、常用的工具或框架之详细攻略

热门文章

  1. 浅谈计算机在农业工作中的应用,浅析计算机在农业中的应用论文
  2. 在线html5视频播放器,打造自己的html5视频播放器
  3. swift报错:value of type 'string' has no member 'generator'
  4. 【MySQL基础】SQL语言的概述、组成及特点
  5. 隆化的大米排之首 国稻种芯·中国水稻节:河北承德十大特产
  6. python读取文件的三种方式_python中读取文件的f.seek()方法
  7. Android版本进化史
  8. Hadoop之——Linux下sudo命令报错:hadoop is not in the sudoers file. This incident will be reported.
  9. 【大学物理·静止电荷的电场】静电场中的电介质
  10. python打包成jar包_使用jython将python的py文件打包成java的jar包