参考文章

https://blog.csdn.net/weixin_33909059/article/details/93446444

要验证的问题

验证jtag的时钟频率与ila内部输入的时钟之间的关系。

验证方法

jtag的时钟,我们采用的系统的工程是LED的流水灯的设计实验。

工程代码

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2020/07/25 00:03:39
// Design Name:
// Module Name: les_test
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////module les_test(sys_clk_p,sys_clk_n,rst_n, // reset ,low activeled // LED,use for control the LED signal on board);input sys_clk_p;input sys_clk_n;input rst_n;output [3:0] led;//define the timer counterreg[31:0] timer;
reg[3:0] led;
wire sys_clk;IBUFDS sys_clk_ibufgds
(
.O (sys_clk ),
.I (sys_clk_p ),
.IB (sys_clk_n )
);
always @(posedge sys_clk or negedge rst_n)
beginif (~rst_n)timer <= 32'd0; // when the reset signal valid,time counter clearingelse if (timer == 32'd19_999_999) //1 seconds count(200M-1=199999999)timer <= 32'd0; //count done,clearing the time counterelsetimer <= timer + 1'b1; //timer counter = timer counter + 1
end
//===========================================================================
// LED control
//===========================================================================
always @(posedge sys_clk or negedge rst_n)
beginif (~rst_n)led <= 4'b0000; //when the reset signal activeelse if (timer == 32'd4_999_999) //time counter count to 0.25 sec,LED1 lightenled <= 4'b0001;else if (timer == 32'd9_999_999) //time counter count to 0.5 sec,LED2 lightenbeginled <= 4'b0010;endelse if (timer == 32'd14_999_999) //time counter count to 0.75 sec,LED3 lightenled <= 4'b0100;else if (timer == 32'd19_999_999) //time counter count to 1 sec,LED4 lightenled <= 4'b1000;
end
wire clk_100M;
wire clk_10M;
clk_wiz_0 aa
(
.clk_in1(sys_clk),
.clk_out1(clk_100M),
.clk_out2(clk_10M)
);
ila_0 u1
(
.clk(clk_10M),
.probe0(led),
.probe1(timer)
);endmodule

代码说明

上面主要分成三个部分:
(1)流水灯产生部分
(2)clk分频时钟模块
(3)ila实例化部分

我们将10M的分频时钟的给ila核输入时钟,这样我们可以选择jtag 的时钟大于该频率或者小于该频率,或者小于该频率2.5倍,来去查看对于我们得到ila的时钟的结果有什么影响

结果

jtag选择30M

出现error

jtag 选择10M

点击运行后不报错,但是不显示任何结果

jtag 选择7.5M

同样没有任何结果

jtag 选择5M


此时出现了期望的运行的结果




jtag 选择更低的1M的时钟



vivado-ila调试之时钟问题相关推荐

  1. Vivado使用ILA调试报错解决

    1.1 Vivado使用ILA调试报错解决 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado使用ILA调试报错解决: 5)结束语. 1.1.2 本节引言 &q ...

  2. 关于 【vivado ila debug时 高级触发的使用】之 改变ILA采样频率

    [vivado ila 高级触发的使用]之 改变ILA采样频率 [vivado ila 高级触发的使用]之 改变ILA采样频率 一.背景 二. 改变ILA采样频率的解决方法 1.利用PLL模块或者自分 ...

  3. 3、【Xilinx下载器】【ILA】使用ILA调试时出错的解决方案

    问题描述 有的用户在使用下载器调试带有ILA的工程时,出现某些异常情况,目前已知的异常有: (1)下载过程中报错,而且底部Tcl Console出现大量报错信息 Tcl报错信息,从第三行可知,系统提示 ...

  4. 【关于vivado ila的使用】

    关于vivado ila的使用 在FPGA开发过程中,经常用到xilinx自带的ila ip进行调试,关于调试中遇到的一些问题总结如下: 如何添加ila 在需要debug的信号前增加*(mark_de ...

  5. 【vivado】debug hub时钟不匹配

    报错,没有debug core! the clock connected to the debug hub (dbg_hub) core 根因是在调试中,这个debug hub没有工作. 下图两个il ...

  6. 【基于zynq的卷积神经网络加速器设计】(一)熟悉vivado和fpga开发流程:使用Vivado硬件调试烧写hello-world led闪烁程序实现及vivado软件仿真

    HIGHLIGHT: vivado设计流程: note: 分析与综合 和 约束输入 可以调换顺序 [基于zynq的卷积神经网络加速器设计](一)熟悉vivado和fpga开发流程:使用Vivado硬件 ...

  7. 【Xilinx ILA调试】Xilinx 硬件调试ILA

    什么是ILA? FPGA综合出来的电路都在芯片内部,基本上是没法用示波器或者逻辑分析仪器去测量信号的,所以xilinx等厂家就发明了内置的逻辑分析仪.在vivado中叫 ILA(Integrated ...

  8. vitis 自带ila调试

    vitis 自带ila调试 使用dk指令 查看ila波形图 打断点 vitis文档 使用dk指令 dk指令 --dk <[chipscope|list_ports]:<cu_name> ...

  9. vivado在远程服务器上完成本地设备的程序烧写和调试(vivado远程调试)

    vivado远程调试 目录 1 概述 2 本地设置 3 远程服务器设置 1 概述 本文用于描述FPGA开发过程中,使用远程服务器时,直接在远程服务器连接到本地设备进行程序的烧写和调试的过程. 即运用场 ...

  10. ADS1675调试无时钟输出

    1.1 ADS1675调试无时钟输出 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)ADS1675调试无时钟输出: 5)结束语. 1.1.2 本节引言 "不积 ...

最新文章

  1. PHP学习笔记-流程控制语句
  2. 任务栏托盘不消失的问题-有启示
  3. 疾控中心计算机管理员权限,疾控中心健康证分权限管理系统使用说明
  4. LINUX设备模型BUS,DEVICE,DRIVER
  5. jigsaw kaggle_使用Project Jigsaw的JDK 9 Early Access上的Eclipse IDE
  6. 京津冀计算机学科大学排名,2021年京津冀地区高校排名公布,北师排第三,央财不敌河大?...
  7. “约见”面试官系列之常见面试题之第八十七篇之ajax发送多个请求优化(建议收藏)
  8. python大作业爬虫_爬虫大作业
  9. c基础学汇编语言,王爽《汇编语言》学习笔记、习题(第一章 基础知识)
  10. java5错误_Error:java: 错误: 不支持发行版本 5
  11. 蒙山中学网站的服务器,上海金山区蒙山中学
  12. MSSQLSERVER数据库- 使用C#来操作事务[转]
  13. trycatch处理流IO流中的异常
  14. JZOJ 4.2 C组 打鼹鼠
  15. Dialogs(对话框)
  16. 鲸鱼吞塑料袋解决之道:人类合作和可持续发展报告
  17. java在线观看(jav在线网站)
  18. OpenCV学习笔记-傅里叶变换
  19. Java毕设设计-高校运动会管理系统
  20. android 环境一键,一键切换Android应用环境(Environment Switcher)

热门文章

  1. 架构之争,MIPS走上开源道路!
  2. 好物分享 | 微信读书组队不再愁!
  3. word转换为pdf完整方案
  4. thinkphp 5.0下载网络图片
  5. HTTPS到底是个啥玩意儿?
  6. MATLAB 版大富翁
  7. 对于StringTable的理解
  8. java.io.IOException: offset 0相关问题研究
  9. python查找图片区域_python批量识别图片指定区域文字内容
  10. 响应式布局的简单案例演示