1. 层级结构
打开主菜单-程序 可以看到最上面一行是程序名称,T_ROB1/MainModule/main。

其中T_ROB1是任务,有几个机械单元就有几个任务可以选择。比如两个机械臂协同工作,就会出现ROB2等。

第二层是模块,分成系统模块和程序模块。模块就是将机器人需要实现的各个功能分成不同模块。可以理解成文件夹。

第三层是例行程序,是机器人系统真正执行的一些程序。

2. 新建程序
新建模块:点击文件-新建模块。


进入新建的模块,可以看到现在的模块只是相当于一个文件夹,并没有创建程序的地方。所以我们需要先创建一个例行程序。点击右上方例行程序,文件-新建例行程序。


点击显示例行程序,就可以进入到程序编辑页面了。
把当前位置记录下来,使用添加指令-MoveJ指令。
将机器人移动至另一个位置,再次添加MoveJ指令。点击调试-pp移至Main,点击右边的单步执行,可以看到机器人进行了一步移动。

同时,程序可以切换单周执行与连续执行。

【RobotStudio学习笔记】(三)程序创建相关推荐

  1. ROS学习笔记三:创建ROS软件包

    ,# ROS学习笔记三:创建ROS软件包 catkin软件包的组成 一个软件包必须满足如下条件才能被称之为catkin软件包: 这个软件包必须包含一个catkin编译文件package.xml(man ...

  2. 《C++ Primer Plus》学习笔记——C++程序创建到运行的整个过程

    当你编写了一个C++,如何让它运行起来呢?具体的步骤取决于计算机环境和使用的C++编译器,但大体如下: 1.使用文本编辑器编写程序,并将其保存到文件中,这个文件就是程序的源代码. 2.编译源代码.这意 ...

  3. Linux学习笔记---使用BusyBox创建根文件系统(三)

    目录 创建/etc/init.d/rcS 文件 创建/etc/fstab 文件 创建/etc/inittab 文件 创建/etc/init.d/rcS 文件 通过nfs加载根文件系统成功后,在串口终端 ...

  4. TIA博途SCL入门学习(三)创建SCL程序及更改FB块接口的视图样式

    TIA博途SCL入门学习(三)创建SCL程序及更改FB块接口的视图样式 TIA博途中创建SCL程序有2种方式,具体可参考以下内容: 在LAD程序段或FBD程序段种可以插入SCL程序段,实现两种语言混合 ...

  5. 【opencv学习笔记八】创建TrackBar轨迹条

    createTrackbar这个函数我们以后会经常用到,它创建一个可以调整数值的轨迹条,并将轨迹条附加到指定的窗口上,使用起来很方便.首先大家要记住,它往往会和一个回调函数配合起来使用.先看下他的函数 ...

  6. Mr.J-- jQuery学习笔记(三十二)--jQuery属性操作源码封装

    扫码看专栏 jQuery的优点 jquery是JavaScript库,能够极大地简化JavaScript编程,能够更方便的处理DOM操作和进行Ajax交互 1.轻量级 JQuery非常轻巧 2.强大的 ...

  7. Linux学习笔记---使用BusyBox创建根文件系统(四)

    目录 软件运行测试 设置程序为后台运行 设置开机自启动 外网连接测试 软件运行测试 进入到rootfs文件夹中新建一个drivers文件夹,用于存放编写的软件. 新建hello.c文件 输入以下代码 ...

  8. Python学习笔记三之编程练习:循环、迭代器与函数

    Python学习笔记三之编程练习 1. 编程第一步 # 求解斐波纳契数列 #/user/bin/python3#Fibonacci series:斐波那契数列 #两个元素的总和确定了下一个数 a,b= ...

  9. python3常用模块_Python学习笔记三(常用模块)

    Python 学习笔记三 (常用模块) 1.os模块 os模块包装了不同操作系统的通用接口,使用户在不同操作系统下,可以使用相同的函数接口,返回相同结构的结果. os.name:返回当前操作系统名称( ...

  10. K8S 学习笔记三 核心技术 Helm nfs prometheus grafana 高可用集群部署 容器部署流程

    K8S 学习笔记三 核心技术 2.13 Helm 2.13.1 Helm 引入 2.13.2 使用 Helm 可以解决哪些问题 2.13.3 Helm 概述 2.13.4 Helm 的 3 个重要概念 ...

最新文章

  1. 基于GAN的图像水印去除器,效果堪比PS高手
  2. 高并发MPP查询引擎Impala初识
  3. 使用 Minidumps 和 Visual Studio .NET 进行崩溃后调试
  4. JS里的时间有关的标签
  5. VRP网络操作系统简介
  6. java定时任务什么时间e结束_Java定时任务
  7. select 实现类似多线程_redis中的网络IO有了解过吗,它是单线程的还是多线程的,为什么要用单线程?...
  8. 这个 WebKit 漏洞助力 Pwn2Own 冠军斩获5.5万美元赏金(详细分析)
  9. Identity Server 4 原理和实战(完结)_建立Angular 客户端
  10. 一个简单的适用于Vue的下拉刷新,触底加载组件
  11. win7定时关机命令是什么
  12. C++ 已知两点坐标和半径求圆心坐标程序
  13. Docker镜像安装的一般步骤
  14. android su程序分析
  15. 三维视觉之结构光原理详解
  16. 基于stm32的两轮自平衡小车3(硬件篇)
  17. 网络工程师成长日记138-前途迷茫和年龄无关
  18. pga是啥oracle,Oracle数据库内存体系 - PGA
  19. html div背景图片路径,css如何设置背景图片位置?
  20. https://github.com/gnustep/

热门文章

  1. 安卓开发大全、系列文章、精品教程
  2. python后台架构Django教程——连接读写mysql数据库
  3. 职场调侃:工作五年之后的十三种痛!
  4. jQuery中eq与get的区别(整理)
  5. plus.webview.create( url, id, styles, extras )参数及说明
  6. Linux - iptables
  7. 【皇甫】☀PPT里的小玩意
  8. leetcode解题笔记-Summary Ranges
  9. [转载] 这100道练习,带你玩转Numpy
  10. verilog之门级相关知识