A:您好,1、基本要求:能利用现有的硬件系统设计一个至少能显示分、秒的控制电路。分和秒均用两位数码管指示,并具有调时、复位功能;

2、扩展要求:能同时显示小时(两位数码管)并能调节小时功能;具有闹钟*功能。

3、设计方法:采用模块化描述方法,可分为分频模块、调时控制模块、数码显示模块、复位等模块,每个模块既可以编辑成*的HDL文件或GDF文件,也可以作为HDL程序中的一个进程模块,最后进行系统*加以验证,在此基础上*到硬件上进行现场测试。

4、输入、输出端口描述:输入信号——时钟信号clk、复位信号clr、时间设置键set、时间上调键tup、时间下调键tdown;输出信号——扫描式七段数码管段选输出端led[7..0]、位选输出端ctrlbit[3..0]。

设计原理

计数时钟由模为60的秒计数器模块、模为60的分计数模块、模为24的小时计数器模块、指示灯与报*器的模块、分/小时设定模块及输出显示模块等组成。秒计数器模块的进位输出为分计数器模块的进位输入,分计数器模块的进位输出为小时计数器模块的进位输入。其中秒计数器模块中应有分钟的设定,分计数器模块中应有小时的设定。

内容

设计一个计数时钟,使其具有24小时计数功能。通过“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意引线插孔可设置小时和分钟的值,并具有整点报时的功能。

电路原理图

模块说明:计数时钟由60秒计数器模块XSECOND、60分计数器模块XMINUTE、24小时计数器模块XHOUR等六个模块构成。秒计数器模块的进位输出为分计数器模块的进位输入,分计数器模块中有小时的设定。通过SW1、SW2、SW3、SW4可设定小时和分钟的值,并具有整点报时的功能。

输入信号:SETMIN为分钟设置信号;SETHOUR为小时设置信号;RESET为全局复位信号;CLK为全局时钟信号;CKDSP为数码管动态扫描信号。

输出信号:SPEAK为蜂鸣器报时信号;LAMP[2..0]为指示灯信号;A~G为数码管七个段位信号;SS[2..0]为数码管段位译码控制信号。

说明与电路连线

指示灯信号LAMP2~LAMP0为*扩展*板上CPLD器件的第11、10、9脚,内部已连接并已锁定,无需外接连线。

蜂鸣器报时信号SPEAK为*扩展*板CPLD器件的第31脚,内部已连接并已锁定,无需外接连线。

拨码开关SW1~SW7内部已连接并已锁定,无需外接连线。

数码管七个段位信号A~G为*扩展*板上CPLD器件的第86、87、88、89、90、92、93脚,应接数码管段位引线接线组KPL_AH,从左到右依次对应的A、B、C、D、E、F、G引线插孔。

数码管段位译码控制信号SS0、SS1、SS2为*扩展*板上CPLD器件的第68、69、70脚,为数码管的位选扫描信号,分别接信号接线组DS1-8A(T)的SS0、SS1、SS2引线插孔(即在电源引线插孔组GND孔处)。

复位信号RESET为*扩展*板上CPLD器件的第71脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个插孔。

小时设置信号SETHOUR为*扩展*板CPLD器件的第73脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个插孔。

分钟设置信号SETMIN为*扩展*板上CPLD器件的第74脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个插孔。

时钟信号CLK为*扩展*板上CPLD器件的183脚(即GCLK2),应接时钟信号接线组“CLOCK(T)”的“FRQ(21)”引线插孔。

数码管动态扫描信号CKDSP为*扩展*板上CPLD器件的79脚(即GCLK1),应接时钟信号接线组“CLOCK(T)”的“FRQ(11)”引线插孔。

参考源程序

library   IEEE;

use   IEEE.std_logic_1164.all;

use   ieee.std_logic_unsigned.all;

use   ieee.std_logic_arith.all;

entity   xsecond   is

port   (

clk:   in   STD_LOGIC;

clkset:   in   STD_LOGIC;

setmin:   in   STD_LOGIC;

reset:   in   STD_LOGIC;

secout:   out   STD_LOGIC_VECTOR   (6   downto   0);

enmin:   out   STD_LOGIC

);

end   xsecond;

architecture   xsecond_arch   of   xsecond   is

signal   sec   :   std_logic_vector(6   downto   0);

signal   emin   :   std_logic;

signal   sec1   :   std_logic;

begin

--

process(reset,sec,emin,setmin,clkset)

begin

if   reset=‘0‘   then

enmin

Arduino录音时间延长_如何用arduino设计出可以语音播报的数字时钟相关推荐

  1. Arduino录音时间延长_如何规划好自己的时间让它产生更大价值?

    每天一篇文章第503篇,不会写文案?找南风,学会文案,下笔百万. 有本书叫<攻心为上>作者哈维.麦凯,他的书十分畅销,我记得书中有这样一句话,利用车上的卡式录音机,听一些可以教你东西的录音 ...

  2. 前端开发中如何将文件夹中的图片变为背景图_如何用Elementor设计banner

    Banner也可以称之为海报,一般出现在网页的顶部.因为前端设计采用的是Html语言,而Html语言采用的是从上往下依次展示内容的方式,所以Banner的内容往往是一个网站首先呈现给网站访客的内容.如 ...

  3. axure按钮切换颜色_如何用Axure画出Web产品的列表组件:基础画法

    Web产品的列表组件在画原型的时候比较常见,所以PM有必要深入了解它的各种交互效果和对应的原型画法. 除了通过表格来画出简单列表之外,我们还可以通过中继器来画出列表,相应的原型效果请查看https:/ ...

  4. 树型列表结构宽度调整_如何用Axure画出Web产品的列表组件:基础画法

    Web产品的列表组件在画原型的时候比较常见,所以PM有必要深入了解它的各种交互效果和对应的原型画法. 除了通过表格来画出简单列表之外,我们还可以通过中继器来画出列表,相应的原型效果请查看https:/ ...

  5. excel表头_如何用Excel制作出库入库表

    有一些工作要求我们制作一个出库入库的记录表格,那么今天这篇文章就教大家如何用Excel制作出库入库表. 首先我们在Excel表格中框出一些表格,作为这个表的整体结构: 然后如图所示,用合并居中按钮调节 ...

  6. css3魔方3乘3每层旋转_如何使用css3设计出一个立体旋转魔方?

    需要先了解的知识: ①了解CSS的2d下的transform变化下的平移(translate)和旋转(rotate). ②了解CSS的3d下的transform变化下的平移和旋转. ③使用transf ...

  7. 设计分享|基于51单片机的数字时钟(汇编)

    具体实现功能 具体功能: 上电后滚动显示年.月.日.时.分,最后进入秒计时,通过四个按键可对时间进行设置操作. 设计介绍 单片机介绍 51单片是一种低功耗.高性能CMOS8位微控制器,具有 8K 在系 ...

  8. arduino摄像头监控_如何使用Arduino和Pusher制作实时光监控器

    arduino摄像头监控 by Neo Ighodaro 由新Ighodaro 如何使用Arduino和Pusher制作实时光监控器 (How to make a realtime light mon ...

  9. arduino 温度调节器_怎样使用Arduino制作自己的温度控制器

    使用Arduino温度控制器提高完美的面包,酿造精美的啤酒和饲养快乐的小鸡.如果您的生活环境不太可靠,例如英格兰,那么告诉您将某物保持在设定温度下的指示并没有特别帮助-我们没有空调,并且为整个房子提高 ...

最新文章

  1. 再学 GDI+[25]: TGPPen - 宽度、颜色与线帽
  2. openresty开发系列22--lua的元表
  3. python3.7.3安装selenium2library_python+selenium自动化的准备 2:安装python 3.7.4 和selenium 2.53.1...
  4. 背景区域为负样本什么意思_词向量-skipgram与负采样
  5. forward和redirect区别
  6. centos-安装python3-pip3
  7. python编写es脚本_Elasticsearch 参考指南(如何使用脚本)
  8. openGauss 学习环境部署(docker方式),并使用dbeaver进行连接
  9. ubuntu(Linux)使用losetup创建虚拟磁盘(挂载,格式化虚拟磁盘,回环设备)
  10. 蓝桥杯2015年第六届C/C++省赛B组第七题-牌型种数
  11. linux三剑客之awk
  12. 2019支付版/聚合支付第三方支付/四方支付系统/提供技术咨询服务
  13. python版植物大战僵尸源码_『原创』植物大战僵尸分析及Python辅助实现
  14. WindowsXPSP2 DEP技术揭秘
  15. 淘宝直通车如何打造爆款,直通车爆款秘籍
  16. 20个专业H5(HTML5)动画工具推荐
  17. html加入图片如何自动平铺,html怎么设置背景图片全屏平铺?
  18. 2021年是做安卓开发人员的绝佳时机,成功入职阿里
  19. 想要学习嵌入式开发选择教程哪家好?
  20. Rust机器学习之tch-rs

热门文章

  1. jar包+注册码 破解Jetbrains IDEA 2017.2.1版本
  2. Echarts—地图的基本实现
  3. SIGCOMM13论文简要选读
  4. mysql数据库引擎面试,mysql数据库引擎面试
  5. IE6/7常用的hack
  6. 【实战+源码】RGB-D移动抓取服务机器人(四)——完结篇(ROS机器人、系统设计、运动规划、目标定位)
  7. 服务器知识:关于阿里云服务器域名备案内容
  8. 浏览器总是提示验证码输入错误
  9. 视频剪辑需要专门报班去学吗?
  10. biopython 【1】简单介绍【常用板块、安装】