文章目录

  • 前言
  • 1、什么是 uvm field automation
  • 2、uvm field automation的介绍
    • 2.1 uvm field automation机制的处理方法
    • 2.2 `uvm_field_* 宏的介绍
    • 2.3 指定数据打印显示的进制
    • 2.4 调用自带函数的方法举例
  • 总结

前言

由于UVM中的field automation机制比较常用,用的时候需要到处去找对应的宏,本文主要记录一下UVM中的field automation机制,方便使用的时候进行查阅。


1、什么是 uvm field automation

uvm field automation机制是,利用`uvm_field_* 宏,将transaction中的数据类型,注册到UVM中,从而可以直接调用数据的复制、比对、打印等函数,不需要自己去定义。

2、uvm field automation的介绍

下面主要从以下几个方面进行介绍:
1、uvm field automation机制的处理方法;
2、`uvm_field_* 宏的介绍;
3、指定数据打印显示的进制;
4、调用自带函数的方法举例。

2.1 uvm field automation机制的处理方法

uvm field automation机制主要用在数据包的组件中,通过`uvm_object_utils_begin(type)和`uvm_object_utils_end这一对宏,以及对应不同数据类型的 `uvm_field_*宏,将对应的数据类型注册到UVM中。

2.2 `uvm_field_* 宏的介绍

这里主要记录一下,不同的数据类型,所采用的`uvm_field_*宏的方式。

2.3 指定数据打印显示的进制

这里记录一下,数据在打印时,如何控制其打印的进制。

2.4 调用自带函数的方法举例

数据类型通过uvm field automation机制注册到UVM中后,那么该数据类型自带print、copy、clone、compare、pack、unpack这些函数,下面主要演示了这些函数的使用方法。


总结

本文主要记录一下UVM中的field automation机制的相关内容。

UVM field automation机制相关推荐

  1. UVM field automation

    field automation机制在UVM中非常重要,主要是牵扯到打印,比较,复制,打包以及解包等操作.看下面一段代码 package UVM_cmd;import uvm_pkg::*'`incl ...

  2. UVM的factory机制

    在UVM中使用工厂模式基本上分为三个步骤: 1. 注册 当定义一个类的时候,它的类型必须要注册,UVM已经提供了专用的宏. `uvm_component_utils(class_type_name) ...

  3. UVM的configuration机制

    UVM的configuration机制 uvm configuration机制 特点 UVM资源池 添加配置资源 获取配置资源 config机制的使用 单个变量使用configure机制 interf ...

  4. UVM的objection机制

    UVM通过objection机制来控制验证平台的关闭.在每个phase中,UVM会检查是否有objection被提起(raise_objection),如果有,那么等待这个objection被撤销(d ...

  5. UVM中factory机制的使用

    UVM中的factory机制一般用在sequence的重载,尤其是virtual sequence.当Test_case变化时,通过virtual sequence的重载,可以很容易构建新的测试. 因 ...

  6. uvm event 事件机制

    event 机制开始是在做Linux 系统开发的时候使用的,作用是从kernel 的内核层像用户层发送消息和数据等,内核层发送事件的api: 1 /**2 * kobject_uevent_env - ...

  7. UVM中objection机制学习

    文章目录 objection机制介绍 objection使用注意点 代码实例 objection机制介绍 在UVM中可以通过drop_objection来通知系统可以关闭验证平台,且在drop_obj ...

  8. UVM内callback机制的使用

    callback机制提高平台的可重用性,其使得在用例里面可以加入一些新的特性,而不需要修改验证平台. call的使用可以分为以下几步: 1.定义一个callback基类,基类需要继承自uvm_call ...

  9. 通用验证方法学(Universal Verification Methodology, UVM):简介及内容导航

    目录 1. 介绍 1.1. 数字集成电路(Integrated Circuit, IC)设计 1.2. 数字集成电路(Integrated Circuit, IC)验证 2. 一个简易UVM验证平台例 ...

最新文章

  1. c语言程序设计分段定时器,单片机C语言编程定时器的几种表达方式
  2. Python,OpenCV基于支持向量机SVM的手写数字OCR
  3. 浅谈中大型企业CMDB的建设
  4. linux内存分配堆栈数据段代码段,linux – LD_PRELOAD堆栈和数据段内存分配
  5. nodejs 实现文件拷贝
  6. 初学Linux第三周
  7. Exception while invoking! org.apache.thrift.protocol.TProtocolException: Bad version in readMessageB
  8. 硬干货!一张图弄清楚在ESXi下如何进行网络抓包
  9. 假如我来发明编程语言
  10. Nginx服务的ssl认证和htpasswd认证
  11. Oracle 04-Oracle创建数据库
  12. 孤立森林(iForest - Isolation Forest)
  13. 用java怎么做中国象棋的小游戏_java开发中国象棋小游戏
  14. 小程序源码:微信零钱模拟器
  15. python 内存不足_python内存不足
  16. 036多级节点实现层叠展开与收缩的功能
  17. CA认证完整实现步骤
  18. 新建的module没有蓝色小块
  19. 为什么很少人用redmine_为什么古代书法家要把字写歪?
  20. 小巧的menuetOS

热门文章

  1. 推荐一款截屏翻译工具|截屏提取文字|划词翻译
  2. MyBatis中的日志(LOG4J)
  3. Internet Information Services 5.0 技术概述(转)
  4. 【机器学习】先搞懂这八大基础概念,再谈机器学习入门!
  5. SpringBoot日志级别设置
  6. C++基础入门(超详细)
  7. 中国互联网还不到躺平的时候!
  8. obs有没有android版本,obs插件手机版下载-obs插件 安卓版v1.0.0-pc6手机下载
  9. 使用UltraISO(软碟通)制作U盘启动盘完整教程
  10. 基于javaweb物业管理系统的设计与实现/小区物业管理系统