仪器仪表用Σ ΔADC产品
在线问答:
[问:ljsword] If my 10bit adc is only 6bit at test, what is main reasons?
[答:Adrian] It could be due to poor power-supply decoupling or poor groundingon the PCB layout.
The power supply should be decoupled with the recommended capacitors close to
the ADC.
If there are seperate DGnd and AGnd then the datasheet should be checked for t
he recommended method of connecting the grounds.
Care should be taken in layout of the PCB to keep digital signals away from an
alog signals.  [2003-8-5 10:52:26]
[问:lazybear] AD812等内置Σ ΔADC 可以得到独立Σ ΔADC 的相同性能吗?
[答:Mariah] ADUC816 and ADUC824 are micro-controllers with sigma-delta ADCs.
The ADC core of the ADUC816 and ADUC824 is AD7792. The ADC in ADUC816 and ADUC
824 can get the same effect as the single sigma-delta ADCs.  [2003-8-5 10:52:5
1]
[问:84204] 我对Audio的segma-delta ADC和DAC非常感兴趣,能否给我一些噪声整形和其
后数字滤波器设计原理方面的详细一些的资料,本人非常感谢!
[答:Eagle] Please contact find some application note on www. analog.com or co
ntact our technical support center
China Support Center free Call Number: 800 810 1742
ADI analog products China Support Center Email: China.support@analog.com [2003
-8-5 10:52:55]
[问:Philips] Σ ΔADC是一种什么类型的A/D?如何能够在高转换速率下获得24-bit的精
度?
[答:Wayne] If you want to know the property of Sigma Delta ADC, you need firs
t to understand 4 basic concepts: Oversampling; Noise Shaping; Digital Filteri
ng; Decimation.
Basically Sigma Delta ADC"s conversion rate is slower than SAR ADC or parallelADC, and the it"s mostly at or under KHZ level. However, we"ve some part likeAD7738 which can reach 15KHz. [2003-8-5 10:52:56]
[问:wzy200163] AD7708/18中的5Hz-105Hz是采样频率还是通道转换频率?
[答:WenShuai] It is the ouput rate.  [2003-8-5 10:54:45]
[问:猫王] 我现在在使用AD73360做模拟通道采样,但是测试下来线性度很不好,有这样的
情况吗?是否我的板子有问题?还是AD73360本身就有这问题呢?
[答:Eagle] 一般,芯片线性度足够做三相电表。如果你有更高要求,请直接联系技术支
持中心。
China Support Center free Call Number: 800 810 1742 China.support@analog.com [
2003-8-5 10:56:32]
[问:breeze73] 请问差分输入的转换结果是怎么输出的?是分时输出的吗?
[答:WenShuai] No, it is output like a single input.  [2003-8-5 10:56:55]
[问:abolas] AD7730中的两种滤波器的特性是怎么得出来的?
[答:Grainne] Don"t understand the question exactly.
The AD7730 first stage filter is sin3 filter. It has short settling time - 3 xconversion time = 3 x 1/data rate. The second stage filter provides more filt
ering, lower noise, possibly 50/60Hz rejection, but longer settling time. The
AD7730 noise is specified only for the full (second stage) filter. To have som
e idea about noise with only first stage filter, look into the AD7731 datashee
t. (The AD7731 is the same ADC core).
Michal. [2003-8-5 10:57:29]
[问:lxq00008] 请问怎样选择高精度a/dc的外围元件,a/d转换后数据处理我要使用正切表
查询,我怎样能在最小数据位数下取得0.1度的分辨率?
[答:William] Typically you can calculate the SNR of the external components t
o ensure the accuracy requirement of the ADC can be met.  I"m not sure what yo
u meant by 0.1 deg.  Please contact our technical assistance center for furthe
r information on accuracy calculation.  [2003-8-5 10:57:37]
[问:qdayan] Do Σ-ΔADC converters have any shortcomings compared with other
ADCs?
Thanks a lot.
[答:Adrian] S-D ADC converters have a number of benefits, including very high
-resolution, low-noise, simple anti-aliasing filter requirements, programmabletrade-off between speed and resolution.
They are generally slower than other ADCs, so for very high sampling rates a S
AR or FLASH ADC may be required.
The ADCs discussed in todays seminar offer 16-bit to 24-bit resolution, at spe
eds up approx 10khz. There are faster sigma-delta ADCs available from Analog D
evices, for example for Audio appications, but for the highest speed a differe
nt ADC architecture is needed.  [2003-8-5 10:58:13]
[问:renmacro] 请问一下:1.过采样时钟是内部产生,还是需要外部提供?2.过采样倍数通常有多大?可编程吗?设计时怎么考虑这个问题?
[答:WenShuai] It should be provided by the external clock.
The oversampling rate is in the chip, cannot be programmed.  [2003-8-5 10:58:2
8]
[问:liberal2002] Sigma-Delta转换器的精度是否可以根据需要动态调整?
[答:Mariah] You can adjust it by yourself.  [2003-8-5 10:58:52]
[问:猫王] 我问过一些研发人员,根据他们的经验,觉得在AD的净输入为0的情况底下,AD转
换输出不应该有这么大的偏差,是否器件有问题呀?
[答:WenShuai] Which part you are asking now?  [2003-8-5 10:59:25]
[问:xingge] 怎样能够解决小信号的干扰问题?
[答:Wayne] First is the choosing of devices with high performance (low offset
, low bias current, low noise...), especially for front end devices like ampli
fier, or high precision ADC with good front end performance (like chopper amp,PGA, temperature compensation circuit, which are mostly integrated in some AD
I Sigma Delta ADC like AD7719, 7709, 7708, 7718). Another example, for groundi
ng noise, you can choose instrument amplifier like AD620/3, AD8221 which can g
reatly reduce those kind of interference.
Second is PCB layout, especially grounding. You can find many books on this to
pics. ADI has some PDF books on this as well, such as:
http://www.analog.com/technology/
amplifiersLinear/training/sensorSignal.html
Also, you need use other manners like shielding, especially for noisy environm
ent.  [2003-8-5 11:00:02]
[问:ljp] 如何解决电源50Hz的干扰?采用带阻滤波方法能行吗?
[答:William] Many of our sigma-delta ADC filter out the 50Hz (and 60Hz) inter
nally using digital band stop filter.  Furthermore, you can use differential s
ignals to ensure the interference can be rejected by common mode rejection.  [
2003-8-5 11:00:30]
[问:callus_luo] 对于欠采样,是不是需要一些特别的ADC,例如哪些?
[答:WenShuai] Yes. If the part said it can be used in undersampling mode. Forexample AD9240, AD6644/5...  [2003-8-5 11:02:05]
[问:liberal2002] 请告知1阶Sigma-Delta A/D转换器基本结构
[答:Mariah] Please read  page 10 of this net seminar specification.  [2003-8-
5 11:02:21]
[问:猫王] 我把一次实验的结果描述一下:测试一下它的线性度,实验时候这么处理:用通
道4来做(工作在单端模式),先把通道4的VINP和VINN短接在一起,VINN接在AD73360的参考电
压输出脚上(1.25V),然后采样AD的输出,得到数据是0X02E0;
[答:William] See my answer to your next part of your question.  [2003-8-5 11:
02:28]
[问:wzy006] 该类产品一般采样速率都较低,不知目前16位的最快可以是多少?
[答:Grainne] In the instrumentation product line here at ADI our faster speedis 8.5KHz at true 16 bit peak to peak resolution. (AD7738)
However there are also products from ADI such as the AD772x family that reach
speeds of hundreds of KHz and these are also sigma delta architecture.
Check out the web to look at datasheets on these products.
Grainne  [2003-8-5 11:03:20]
[问:qin] 对传感器的非线性如何进行补偿和校正?
[答:WenShuai] It will be decided by which kind of sensors you are using. For
example, 4-arm bridge has the linear property, but one arm bridge doesn"t haveit.  [2003-8-5 11:03:35]
[问:剑凯摩] 请问ad7731,aduc824如何校准非线性,温漂!
[答:Mariah] You can do self-calibration and system calibration according to t
he datasheet.  [2003-8-5 11:04:29]
[问:xingge] 如果要把1mv的电压转换成1mA的电流,不加另外的转换电路能行吗?如果可
以,怎样解决?选择那些芯片较好?
[答:Eagle] 用OP1177构建恒流源电路,或电压到电流转换电路,或用仪表放大放大,用
ADC采样,用AD420变换成0~20mA电流。  [2003-8-5 11:04:50]
[问:猫王] 然后VINN仍然接在AD73360的参考电压输出脚上(1.25V)上,VINP接如电压2.0V
,此时采样AD的输出,得到数据是0X7E4A,而通过datasheet上P12的图来计算的话得到净输入
0.75V时候AD输出应该是0X74CD;是AD73360的线性度不好需要分段线性化处理吗?还是其它
原因?见过类似的问题吗?请指点,谢谢!
[答:William] From what you described, this is caused by offset error and gainerror.  What you need to do is to record the min and max values and then simp
ly re-calculate the step size.  You can contact our technical center for furth
er assistance on how to calculate this.  [2003-8-5 11:05:01]
[问:wangiii] 请问ADC转换器为什么会有以下现象:当输入为5KHz正弦信号时输出正常,
而当输入直流信号时输出会有很大的波动?
[答:WenShuai] Maybe this is because of your grounding and power supply. Any f
urther technical support needed, please mail to china.support@analog.com or ca
ll the toll-free number 800 810 1742  [2003-8-5 11:06:31]
[问:le-xf] 在设计和应用上与通常的ADC有那些不同?
[答:William] In principle, sigma-delta ADC is no different to others.  It"s m
ainly higher resolution, lower speed.  It is mostly useful for instrumentationand other low speed application.  [2003-8-5 11:06:50]
[问:samire] 如何来降低ADC的空闲噪音?
[答:WenShuai] What does 空闲噪音 mean?  [2003-8-5 11:07:04]
[问:86222] 请问Σ ΔADC提高精度的关键在哪里?有什么介绍原理性资料。
[答:Mariah] Please read the application notes below:
AN-283: Sigma-Delta ADCs and DACs
AN-388: Using Sigma-Delta Converters-Part 1
AN-389: Using Sigma-Delta Converters-Part 2 You can find them in ADI website.  [2003-8-5 11:07:29]
[问:lazybear] Σ ΔADC 额外前置放大器有利于提高精度吗?
[答:Adrian] The PGA improves the ADC resolution, in terms of uV or nV.For example, the AD7719 has an RMS noise of 2.3uV on PGA=1 at a 20Hz conversio
n speed, which equals 21 effective-bits resolution.
With PGA=8 the RMS noise is 0.65uV, which equals 19.5 effective bits resolutio
n.Therefore the PGA allows a more accurate measurement over a small input signalrange.On some S-D ADCs, at higher speeds, the resolution is limited by quantisation
noise rather than thermal noise, so there is a bigger improvement in resolutio
n when you use higher PGA setting. [2003-8-5 11:08:03]
[问:91627] 目前segma-delta ADC最高可做到幾bit?[答:William] 24-bit are the most common.  Higher number of bits would mean sl
ower response time so even it is perfectly possible to achieve higher number o
f bits, most Sigma-delta ADC would stop at 24 bits.  There are also system noi
se issue needs to be considered.  [2003-8-5 11:08:17]
[问:lazybear] AD7711AN 用于RTD(PT1000) 测量,0--40度之间,能达到0.001的可靠分辨
吗?(用电流法,无额外IC)
[答:Eagle] 还要看RTD精度。  [2003-8-5 11:10:02]
[问:ljsword] how to measue the inl,dnl of the adc?
[答:Grainne] The INL is deviation from an ideal straight line in graph of out
put code versus input voltage.
We use ultra high precition voltage source in our testers to check the INL.
The DNL is deviation in 1LSB size. This would be hard to measure, because the
sigma-delta ADC are inherently 24-bit accurate and would be hard to even find
a measuremnt system acurate enough to check it.
Michal.  [2003-8-5 11:10:45]
[问:yjems] 我需要测量微伏级的信号,为了获得比较大的(1-100)可变增益范围,我想
用XICOR的数字电位器和AD620设计ADC前端的放大器,这个数字电位器需要用I2C总线控制
。
请问,数字电位器会不会对ADC的性能产生负面影响?如果会,那么可以用哪些办法减弱这
种影响呢?
[答:Wayne] It"s better not to put digipot on front analog end, which may infl
uence a little the performance because of its temperature drift, digital inter
ference. If you had to use this way, you need to make sure your layout is stri
ctly following up related rules then digital signal will not influence small a
nalog signal. You need to set up some compensation manner (at digital processi
ng, for example).
By the way, we"ve instrument amplifier AD8221 which has better performance tha
n AD620 and with low cost as well. Also, ADI has a big family of digipot, likeAD5241 (256 positions, I2C). You can find more choices (33 positions to 1024
positions) at http://www.analog.com/DigitalPotentiometer[2003-8-5 11:10:45] [问:lr-lxm] 请问:片上电阻分压网络对输入信号的分压参数是否具有可编程的调节功能
?
[答:WenShuai] The voltage divider is fixed, you cannot program it. But we do
have parts that the input range can be programmed, AD766X for example.  [2003-
8-5 11:11:38]
[问:niumanke] Sigma-Delta转换器在保证最高精度的前提下,对被采样信号的频率有何限
制?
[答:Eagle] 也要符合采样定律。一般SD基于过采样,对滤波器要求会适当降低。  [200
3-8-5 11:12:00]
[问:lumingdsp] 请问,贵公司的Analog产品与TI的Analog产品相比,有什么优势所在?[答:WenShuai] We are the lead in signal processing both in analog field and d
igital field.  [2003-8-5 11:12:11]
[问:ljp] Sigma-Delta ADC的输出信号有几种方式选择?是差分还是单端?
[答:WenShuai] The output is digital, and single-ended. Normally, in serial fo
rmat.  [2003-8-5 11:12:50]
[问:gbyang] Sigma-Delta与其它类似的ADC有什么具体的区别?
[答:Mariah] The resolution of Sigma-Delta ADC is high, but the speed is low.
Sigma-Delta ADCs usually used in industrial field.  [2003-8-5 11:13:02]
[问:11067] 请就如下几个指标详细谈谈它对产品性能的影响
SFDR,SINAD,THD
[答:WenShuai] Please send mail to china.support@analog.com, we will send mate
rial to you on your question.  [2003-8-5 11:13:32]
[问:ljsword] hi, can you give some tips on
how to design the application
board for such a high accuracy
adc?
[答:Adrian] There are evaluation boards available from ADI for many of these
Sigma-Delta ADCs that can achieve the high accuracy results.
Many of these have schematics or layouts available, so these could be followedto get good performance.
There are many application notes and hints available on www.analog.com on powe
r supplies, grounding and signal routing.
Digital signals should be kept away from the sensitive analog inputs. Good cap
acitor decoupling is generally required, and care should be taken with groundi
ng.  [2003-8-5 11:14:01]
[问:baiyc] ADI公司多通道ADC在通道配置时可配置哪些参数
[答:William] Depending on the products, for some, one can adjust gain, offsetetc. for each channel independently.  For some multi-channel ADC, you can con
figurate the sequence of which the channels are sampled.  Please refer to the
datasheet for details.  [2003-8-5 11:14:42]
[问:myatmel] 我想问一下贵公司有没有16位的串行AD转换器,最好是音频转换的?  [答:Eagle] AD73311, AD73411, AD73322, etc.
AD73411 (16 Bit Monaural Codec, Generic A/D=AD73311L, w/PGA 0>38dB, Sample Rat
e 64KSPS, DSP Processor=ADSP2185L @ 52MSPS, Dash 40=(8Kx24 Prgm + 8Kx16 Data M
emory) or Dash 80=(16Kx24 Prgm +16Kx16 Data Memory), Vsys=+3V)  [2003-8-5 11:1
6:30]
[问:songhengli] 什么是过采样?
[答:WenShuai] It is the principle that the sample rate is far more than the s
ignal bandwidth. You can find it in the presentation.  [2003-8-5 11:16:49]
[问:wzy200163] 贵公司是否有USB控制类产品?
[答:Eagle] 我们的ADSP-BF535有集成的USB口。  [2003-8-5 11:17:19]
[问:qin] Sigma-Delta ADC和SAR ADC的根本区别是什么?
[答:WenShuai] The principle is different. Normally, SAR will be faster than t
he S-D ADC.  [2003-8-5 11:17:47]
[问:wzy200163] AD7708/18的50/60HZ是手控还是自动控制?
[答:WenShuai] It is made fixed on chip. So you can say it is automatically us
ed.  [2003-8-5 11:18:40]
[问:tomzhao1] 有关AD73360 的应用问题:通常电压参考管脚(REFCAP)接在什么电平合
适,是不是接在地上最好?为什么REFOUT脚没有设定的电压值输出?
[答:William] REFCAP is used to provide a mean to stablize the voltage from th
e internal reference.  So one needs to connect decoupling capacitor to this pi
n.  Typically one would use a capacitor between 10-100nF.  Our recommended val
ue is 0.1uF.  REFOUT has a nominal voltage of 1.25V.  [2003-8-5 11:18:58]
[问:lijiangdyx] Σ ΔADC产品
它的模数转换器的精度如何,他与motorola的HS12DP256为控制器上的模数转换装置比较起
来有哪些显著的优点!!!
它的价格在国际市场上有优势吗,在国内市场上它的性价比如何.他有哪些型号对中国大陆
市场是受出口限制的.用这个产品会带来哪些"贸易壁垒"!!
它的开发工具以及培训如何得到,有哪些优惠?
[答:Wayne] ADI has a big series of Sigma Delta ADC products, from 16bit to 24
bit resolution (no missing code). We can reach 22.5 bit effective resolution.I"ve not experience with Motorola"s part you mentioned. However, I would like
to emphasize that ADI technology on precision ADC is on leading position in th
e world. We can reach high precision, with high conversion rate. For price que
stion, you can contact with ADI local office, or distributors (Excelpoint, Chi
natronic, Arrow, Future, and WPI).
All Sigma Delta ADC are not on the control list, you can purchase it easily fr
om distributors.
For evaluation or developing tools, you can get free example code, register se
ting, and application from www.analog.com.
And here is a tutorial on web for Sigma Delta:
http://www.analog.com/Analog_Root/static/
techSupport/designTools/interactiveTools
/sdtutorial/sdtutorial.html [2003-8-5 11:19:51]
[问:49836] 我设计的的一个产品检测一5K的信号用带通放大,但当移动电话(拨打时)靠近
会产生干扰,好象是后级偶合进去的,怎么办?
[答:WenShuai] This is the problem of RF inference. Use shielding should be OK
.  [2003-8-5 11:20:01]
[问:lyjsolar] 积分非线性15ppm是什么概念?
[答:Mariah] Integral Nonlinearity (INL)
The deviation of the transfer function from a reference line measured in fract
ions of 1 LSB using a "best" straight line" determined by a least square curvefit.
ppm means part per million  [2003-8-5 11:20:24]
[问:zsc] 我们正在开发的模块需要8路ADC输入及8路DAC输出,主要采集电阻及电流值,
采集后的信号经MSC1211处理后回传给服务器。如果采用贵公司产品,仅A/D、D/A部分的成
本应该是多少?
[答:Eagle] How many bits are you need?
AD7927 (8 ch 12bit SAR ADC) is very cheap.
AD7738 (8 CH 24bit S-D ADC) is very high accurate.
AD5308 (8 ch 8 bit DAC ) is very cheap.
AD5328 (8 ch 12 bit DAC) is also cheap.
You can also use ADUC831 (8ch 12bit ADC + 2 ch 12bit DAC + 8052 MCU + 62k Flas
h memory)  [2003-8-5 11:20:59]
[问:abolas] 这些AD的有效位数是在什么条件下测出来的?我曾经尝试过如果输入短接,
则系统的有效位数能提高几位,是这样的吗?
[答:WenShuai] You can find the test conditions in the datasheets. Normally, t
he lower the input bandwidth, the higher the resolution.  [2003-8-5 11:22:26] [问:gbyang] AD7714在四年前就有了,有无新的修改?
[答:Eagle] You can use the upgrated AD7734, AD7738. And, AD7789, AD7790 is ve
ry cheap.
AD7738 (24 Bit, Update Rate Max=15KHz, SNR=100dB @ 2KHz, Effective # Bits=19 &8.5KHz, 8 CH SE or 4 Diff, Input Ranges=4 Diff=+/-0.625V or +/-1.25V or 8 SE=
0>+0.6250V, Ext Vref=+2.5V, Vsys=+5V & 18mA, I/O=Serial SPI, w/Input Buffer (I
b=200nA), Prgm Output Rates 300Hz to12KHz, Self Cal and per Ch System Cal, MuxOutput Pins)  [2003-8-5 11:22:58]
[问:songhengli] AD公司的SIGMA-DELTA A/D的内部50HZ抑制器的抑制效果如何?请举数
据说明
[答:WenShuai] You can find it in the datasheet. For example AD7705 is 98dB.
[2003-8-5 11:24:29]
[问:wzy200163] 请推荐多通道(4个以上),每通道增益独立可编程变化,采样频率较高
,漂移小且可对50Hz进行手控或自控,低功耗,16bit以上的器件。
[答:Eagle] AD73360L (6 Channel, 16 Bit A/D/Channel, Master Clock=16.384MHz, S
ample Clock=8.192, Sample Rates=8/16/32/64 KSPS, SNR= -73dB w/PGA=0, PGA Range
=0to +38dB/Channel, Group Delay=25msec, I/O=Serial, Vsys=+2.7V @ 26.5mA w/all
sections on)  [2003-8-5 11:24:38]
[问:qin] 请介绍高温热电耦的冷端补偿和测量线补偿?冷端补偿是否需要恒温装置?  [答:Mariah] There is an article to introduce the thermocouple signal conditio
ning. you can find that by the link below, please refer to chapter 7:
http://www.analog.com/technology/
amplifiersLinear/training/sensorSignal.html
In fact you don"t need a constant temperature device.  [2003-8-5 11:24:38]
[问:zjz88] ADI公司电能计量IC中的高分辨率ADC,现在是不是有直接应用到或者是已经
出了产品了哪?要是有,能否提供一下联系方式?[答:William] ADI is a large IC supplier world-wide for the energy metering ma
rket.  Our annual shipment is in the millions.  You can contact any of our sal
es office.  Please look up the telephone number on our website at www.analog.c
om  [2003-8-5 11:25:32]
[问:93885] 请问adi的Σ ΔAD在多通道采集上和采集速度上与以往的Σ ΔADC有什么改
进措施
[答:Wayne] We"re offering higher channel number, higher conversion rate than
before. For example, AD7732/4 support +/-10V 2differential/4 single end inputs
, can work at 15KHz sample rate. AD7738 support +/-2.5v 4 differential or 8 si
ngle end analog input, while conversion rate can be 15KHz.
Also, we"ve trends to low power ADC, like AD779x.  [2003-8-5 11:25:45]
[问:pocean] 请问,刚才介绍的ADC产品可否用于小电阻/微电阻(毫欧或微欧级)测量?[答:Eagle] You"d better use a IM-AMP, such as AD620, AD8221.
AD8221 (Vos RTI=150>500uV, TCVosi=0.8>2uV/C, Gain Error=0.1>0.35%, @ Av=1>1000
, CMRR=70>80dB @ fin 10KHz Av=1, BW=1MHz, SR=1.5V/usec, S/time=7usec to 0.01%,Vsys=+/-15V @ 1mA)  [2003-8-5 11:25:49]
[问:tomzhao1] 对信号采样能达到的精度怎么计算?
[答:WenShuai] You have to calculate the ENOB according to the SNR. ENOB=(SNR-
1.76)/6.02  [2003-8-5 11:26:11]
[问:qin] 如何消除传感器噪音和非线性对测量的影响?
[答:WenShuai] You can do system calibration. Normally, ADI parts will have th
is function.  [2003-8-5 11:27:05]
[问:wangxw] 仪器仪表用Σ ΔADC产品(24bit)的线性度能达到多少?
[答:Grainne] They are typically 2-3ppm INL (intergaral non-linearity). We (AD
I) usually specify the maximum, which is important for the precision systems.
The max is between 10ppm (AD7714Y, AD7719) to 18ppm (AD7730), depends on part.Look into datasheet. Michal  [2003-8-5 11:27:42]
[问:abolas] 你们所说的系列的分辨位数是在什么条件下测出来的?是在输入为0v的条件
下吗
[答:Mariah] Under what conditions do you test the resolution of these sigmal-
delta parts? For example,is the input 0 V?  [2003-8-5 11:29:46]
[问:zgd8964cn] 尊敬的专家:仪器仪表用ADC和个人计算机连接、以及利用MATLAB分析数据之间的
兼容性如何?是否可将其连接到普通数据采集卡上?
[答:WenShuai] Our ADC has the evalution board, which is controlled by the PC.Then the data can be processed in PC. And we also have a data sampling card u
sed DSP.  [2003-8-5 11:30:28]
[问:samire] 如果Sigma-Delta ADC的输入端没有缓冲器,输入端如何处理?如何进行阻抗
匹配?
[答:Eagle] Normally we use IN-AMP such as AD620 and AD8221. It has high inputimpedentend. Some times 2 JFET input type AD8620 is used to buffer the input.AD8620 (Dual, Vos=150>250uV, TCVos=1.5>3.5uV/C, Ib=10pA, BW=25MHz, SR=40V/usec
, enoise=6nV/sqrthz, CMRR=90dB, Vsys=+5/->26V @ 3.5mA, No Phase Reversal)  [20
03-8-5 11:30:41]
[问:wangiii] 我需要选用一个ADC,要求是:16BIT,NO MISSING ,100K~200K,BIPOAR
,请推荐一款。
[答:William] There are many models you can choose, e.g. AD676, AD976, etc. de
pending on the type of interface/reference (serial or parallel) you need.  I w
ould like to point out that unipolar input can often be used with a simple vol
tage shift of bi-polar signal at the input.  This can often leads to a signifi
cant reduction of system cost.  [2003-8-5 11:30:49]
[问:20742] 运用AD7730怎样使数据稳定一些,16位数据波动
都很大
[答:Wayne] 1) Please make sure your schematics and PCB follow up strictly thedesign rules including grounding. To reduce flicker is to reduce noise.
2) Adopt lower sampling rate, or using more oversampling manner, using averagi
ng manner can help some as well.  [2003-8-5 11:30:51]
[问:wangxw] 仪器仪表用Σ ΔADC产品(24bit)的价格为多少?交货期多长?
[答:Grainne] This depends on the part and volume required.
Many of the sigma parts are held in stock so that lead time would be short.
The price depends on the volume you want. Our latest part the AD7789 has a pri
ce of just over $2 in 1K volume. This is a 24 bit part and we also have lower
cost 16 bit versions. You should contact your local representative for a more
accurate quote and lead time when you have picked a part that suits.
Grainne [2003-8-5 11:31:48]
[问:wswtiger] 1、如果我要实现+20dBm到-74dBm的信号采样,在前端信号的调理部分应
当采用什么样的方式来实现自动的动态范围调整呢?
2、在接近40MHz的采样时钟下,如何确保设计得到最佳性能
3、谢谢
[答:WenShuai] You have to use RF power detector and the VGA, AD8304 should beOK, and ADuC812 can control it. Any further technical support needed, please
call 800 810 1742.  [2003-8-5 11:31:49]
[问:shghu] 如果需使用无线数码传输音频信号,请问如何解决发送端和接收端的时钟同步
问题?
[答:Eagle] Please contact China Support Center free Call Number: 800 810 1742Email: china.support@analog.com [2003-8-5 11:33:23]
[问:samire] 如果时延较长,Sigma-Delta ADC 能否适用?时延长到多长不能用?
[答:WenShuai] If the switching time can meet your requirement, then you can u
se it.  [2003-8-5 11:35:13]
[问:chengangangel] 是什么形式的滤波器,几阶,我想要3阶的滤波器,怎么扩展?  [答:William] I"m not sure which filter you are referring to.  Inside sigma-de
lta ADC, we uses FIR filter, typically sample-and-hold.  For some of our produ
cts, you can control the degree of interpolation by configurating the internalprogrammable filter.  [2003-8-5 11:35:28]
[问:lumingdsp] 介绍的所有这些ad产品中,内部的PGA是否都相同的
[答:WenShuai] No, some parts have PGA, some don"t have. You have to get detai
led information from their datasheets.  [2003-8-5 11:37:06]
[问:wangxw] 仪器仪表用Σ ΔADC产品(24bit)的年稳定度能达到多少?
[答:Grainne] We guarantee stability. This the unique design that ADI uses forthgeir sigma delta ADCs.
We use accelerated life testing during our qualification process so that we ca
n predict whether a part will be stable and our specifications are based on th
is data.
So the specifications in the datasheet are based on life testing.
Check out for example the AD7730 and AD7731 datasheets: 25nV/1000 Hours (Offse
t drift vs time)
Grainne  [2003-8-5 11:37:12]
[问:cxq] 0-400摄氏度、K型热电偶输入、使用AD7705(PGA放大128)构成的测温系统,理
论上可以达到的最高分辨率是多少?
[答:WenShuai] You can get 14-bit peak-peak resolution according to the datash
eet  [2003-8-5 11:38:26]
[问:cxq] 1、12路K型热电偶输入,使用什么模拟开关进行切换比较合适,与AD7705构成
的系统可以保证13位以上的精度?
[答:Eagle] ADG726 is better, ADG706 for simple.
ADG726 (16 Channel Differential, Ron/Match=5.5/0.8 ohms, transistion Time=45ns
ec, Break Before Make Delay=15nsec, -3dB BW=34MHz, Crosstalk/Off Isolation= -7
2/72dB @ 1MHz, Vsys=+2.7 to +/-2.5V @ 20uA, I/O=P4 w/Enable)
ADG706 (16 Channel Single Ended, Ron/Match=5/1.2 ohms, ton/toff=50/14nsec, Bre
ak Before Make Delay=30nsec, -3dB BW=25MHz, Crosstalk/Off Isolation=@ 10MHz =
-60/60dB, Vsys=+2.7 to +5V or +/-2.5V @ 1uA, I/O=P4 w/Enable)  [2003-8-5 11:38
:32]
[问:tomzhao1] 设置好的ADC会象单片机那样死机而停止采样吗?
[答:WenShuai] No, it will work until you stop it or it has been destroyed.  [
2003-8-5 11:39:07]
[问:hawkzone] AD7732的输入端可以接入负值的信号吗?
[答:WenShuai] Sure. AD7732 can accept +/-10V input signal.  [2003-8-5 11:39:4
9]
[问:zjz88] AD7732中,模拟输入中,11。6V输入,是不是额定电压?
[答:WenShuai] It can, but should be worked under overvoltage mode.  [2003-8-511:40:15]
[问:yjems] 请问,ADC的自校准功能一般在什么情况下使用?
[答:WenShuai] Normally, the self-calibration should be used every time the pa
rt is power on.  [2003-8-5 11:40:57]
[问:wzy200163] AD7708/18的50Hz/60Hz是手控还是自动控制?
[答:WenShuai] It is fixed in the part.  [2003-8-5 11:41:16]
[问:lxq00008] 我要通过计算双路正弦信号的相位差,通过ad采样和数字信号处理来实现
,我担心ad转换的输入阻抗会影响我检相精度,请问这个影响有多大?
[答:William] For this type of application, you need an ADC which can perform
simultaneous sampling to perserve phase information.  Typically, sigma-delta t
ype is not most suitable.  SAR-type with sample-and-hold amplifiler is needed.The input impedance would be an issue if the output impedance of your sourceis high.  But this can be easily solved by adding external buffer.  [2003-8-511:41:47]
[问:lmhuang] Σ ΔADC与一般的ADC产品最大的不同是什么(在进行模数转换的时候),
它的优势是什么,工作原理又是什么??
[答:Mariah] The resolution of Sigma Delta ADC is extremely high. And most of
the parts have integrated signal conditioning circuit. Some parts also allow m
ultiple input range and mode. They are usually used in industrial field. You c
an get the basic knowledge of Sigma Delta technique by the link below:http://w
ww.analog.com/Analog_Root/static/
techSupport/designTools/interactiveTools/sdtutorial/
sdtutorial.html  [2003-8-5 11:41:59]
[问:tomzhao1] 对于ADC来说,采用的不同电源对其精度有影响吗?(开关电源,线性电
源,电池)
[答:WenShuai] Normally, for anlog signal, the linear power supply and batteryshould be used, the switching power supply should not be used. If you want touse it, take care of the filtering.  [2003-8-5 11:42:17]
[问:xu030805] 是不是所有ADI的Sigma-Delta ADC都有内部FIR滤波器?
[答:Grainne] Yes, all our sigma-delta have an internal FIR filter. On most ofour parts it is "SIN3" filter. The AD7730 and AD7731 have a second stage 22-t
ap filter resulting very low noise, 50/60 Hz rejection and well defined stop-b
and.
Michal.  [2003-8-5 11:43:00]
[问:jensen.lee] 若被采集信号是pA级的话,请问如何保证精度
[答:WenShuai] You have to use a precision amplifier to amplify it, then inputit to the ADC. I think AD8628, AD8551 and AD8571 can be used.  [2003-8-5 11:4
3:26]
[问:98379] 我设计的一个系统中需要一个DAC,要求的噪声指标相当严格,可以选用什么
器件,设计时需要注意些什么?
[答:WenShuai] Please let us know the specification, thus we can help you. Or
contact us via the toll-free number 800 810 1742.  [2003-8-5 11:44:04]
[问:zjz88] 在电子称中的应用,不考虑其它外在任何干扰吗?
[答:William] Of course not, you need to consider many external interference s
ources such as EMC and temperature.  EMC problem can be avoided by careful pcblayout.  However, to avoid temperature drift one would require careful compon
ent selection.  For example, most amplifiers used in weight scales are choppertype with ultra-low drift.  For ADC, one also needs very low drift specificat
ion.  [2003-8-5 11:44:18]
[问:qihong] 片上设置分压电阻,是否会影响输入阻抗?
[答:WenShuai] Should be, but we will specify it. And will make it a fixed val
ue.  [2003-8-5 11:44:46]
[问:peng-kai] 请问Σ ΔADC与普通的双积分型ADC除了在精度上,还有哪些优势与异同
点,在什么时候能够与一般的逐次比较型ADC通用。谢谢!
[答:William] Sigma-delta ADC uses over-sampling and noise shaping to achieve
much lower noise performance than other type of ADC.  SAR, on the other hand,
has much higher speed.  [2003-8-5 11:46:33]
[问:xingge] 在传输模拟信号时可否采用传输AD变换后的数字信号 可以增强抗干扰能力
吗?
[答:WenShuai] Normally, digital signal will be more robust than anlog signal.Also, 4-20mA current loop can be considered. AD421 is a good current loop par
t. Also, ADuM1100 can be used as the isolation coupler.  [2003-8-5 11:47:15] [问:yjems] What is decimation?
[答:Grainne] The sigma-delta architecture uses oversampling, i.e., the modula
tor runs much faster that is the bandwidth of interest. The modulator output i
s usually only 1-bit resolution, for eample 32kHz rate. Then there is a digita
l filter, that filters the output data to get more resolution at lower speed.
For example 18 bits at 50Hz data rate. DECIMATION is how much does the digitalfilter average the data to get the resoltion.
Michal.  [2003-8-5 11:48:06]
[问:ljp] 如何判断单极点RC滤波器足够用?有无计算公式或参考资料?
[答:WenShuai] You can simulate it. To find out its frequency domain property.I think you can find it in textbooks. <<System and Signal>> for example.  [20
03-8-5 11:48:19]
[问:seasonli] Σ ΔADC对50HZ/60HZ干扰的抑制能力如何?提高Σ ΔADC对50HZ/60HZ抗
干扰能力的关键是什么?
[答:WenShuai] Normally, ADI parts can reject it in 98dB (AD7705 for example).This is a property of the part.  [2003-8-5 11:49:17]
[问:teiliyuan] 我打算使用16比特A/D,串行输入输出,采样频率100ksps-250ksps,8ch
,请问使用哪种A/D更好?好购买吗?
[答:Grainne] We would suggest that you look at some of the AD772x family data
sheets.
Grainne  [2003-8-5 11:49:39]
[问:lovelydaidai] TI(原BB)公司的一些sigma-delta ADC采用了所谓的resolution p
lus技术可以实现40KSPS时19bit有效分辨率,请问ADI有没有类似技术和产品
[答:Adrian] The BB parts require a long settling time because of the digital
filter. The settling time is 5 output cycles, therefore the effective conversi
on time is only 8kSPS.
Also, the resolution quoted is "Effective" resolution rather than "Peak-To-Pea
k" resolution specified on most ADI datasheets. 19-Effective bits is equivalen
t to 16.3 bits peak-to-peak.
The AD7738 from ADI achieves 16-bit "Peak-to-Peak" resolution in a fully-settl
ed conversion rate of 8.5kHz, ie this includes the full settling time of the A
DC.
Therefore in terms of performance it is better than TI/BB. The AD7738 also inc
ludes extra features such as internal buffer, low-drift "chopping" mode, inputmultiplexer, crystal oscillator, calibration modes etc.  [2003-8-5 11:50:02] [问:93481] 如何测试出SNR,用什么仪器,方法?
[答:WenShuai] For ADC, you have to use FFT, then calculate it. For DAC, you h
ave to use spectrum analyzer.  [2003-8-5 11:50:56]
[问:cxq] 1、12路热电偶输入,使用什么模拟开关进行切换比较合适,与AD7705构成的系
统可以保证13位以上的精度?
2、使用K型热电偶的测温电路,选用AD7705,如果温度范围为0-400摄氏度,AIN(-)是不是
一定要有偏置电压?如果偏置电压从一个电阻和二极管构成的电路中取自二极管正向压降
,这样的设计是否合适?
谢谢!
[答:Wayne] 1.) You can use ADG725 (SPI interface) or ADG726 (binary address l
ine), both are 16 channel differential multiplexers. The on resistence is 3.5/
4 ohm.A Suggestion, ADI has many Sigma Delta ADC like AD7719, AD7709, AD7711, AD77
83, with onchip current sources, which can help you easily finish design of RT
D measurement.2.) The only thing you need to check is whether the common voltage is within t
he range of DATASHEET specs. You need to check whether your"re using bipolar i
nput or unipolar. Also, if you use diode for bias, it may cause temperature dr
ift problem.  [2003-8-5 11:51:58]
[问:93481] 一般说,双层pcb板上的power、ground 的noise会达到什么量级,我们测试
的竟然有50mv,这样正常么?
[答:Eagle] 似乎太大。可考虑用特粗地线,甚至象高频电路板一样,用表贴元件,将另
一面全做地。如果用差分传输,(如AD8129 和 AD8130),可减少由地电流乘地阻抗带来
地干扰。使用差分输入的ADC (10bit 100MSPS AD9218, AD7791 21.5 Bits effective R
esolution @ 16.6 Hz)就是差分输入。还要注意布局,电源滤波,分地, 等。  [2003-8
-5 11:51:58]
[问:ljp] 取样保持电路如何进行计算?保持时间的限度和取样频率有何关系?有计算公式
?
[答:WenShuai] S-D ADCs don"t need the sample and hold part. And you can find
the equations from the textbooks, <circuit principle> for example.  [2003-8-5
11:54:46]
[问:ljp] 在Sigma-Delta ADC中如何消除尖峰脉冲对转换的影响?需要采用数字滤波器吗
?
[答:William] Sigma-delta ADC has poor response to spike because of its digita
l filter.  But most products have limiter so they will recover from the spike.One needs to be careful and use external components to limit the part"s expo
sure to external spikes.  [2003-8-5 11:54:58]
[问:huangxiaocheng] 在选择AD的时候,除了电压和位数,最主要的是注意一些什么参数
?
[答:Wayne] Sample rate; effecitve resolution under certain sample rate; 50/60
HZ rejection. Also, for portable application, maybe power dissipation......
It"s all depending on your application.  [2003-8-5 11:55:05]
[问:xingge] 请问,在小信号放大之前怎样避免接地噪音的干扰呢?
[答:Eagle] 用差分输入仪表放大器(AD620,AD623,AD8821),且尽可能靠近传感器。
放大后再传。称重中常这样。甚至用多个AD (AD7791),用数字传送,有时加ADuM1100
或 光耦隔离。  [2003-8-5 11:55:31]
[问:blueshiny] 如何选择器件,使放大器和ADC相匹配,使信噪比最高?
[答:Adrian] Most of the ADI Sigma-Delta ADCs include an on-chip low-noise PGAamplifier and a high-impedance input buffer, therefore for many applications
the sensor signal can be connected directly to the ADC inputs, with only a sim
ple RC filter required for anti-aliasing or EMI rejection.
If an external op-amp is required, the on-chip buffer means the input current
is very low. Without a buffer, the input currents due to the sampling capacito
rs in the ADC can be quite high, therefore a high-performance op-amp is requir
ed to supply the dynamic current required without causing errors due to incomp
lete settling.
This is particularly important for high-spped Sigma-Delta ADCs such as the AD7
730 and AD7738.  [2003-8-5 11:56:09]
[问:jdnjb] 介绍一下电子秤用ADC有无可直接接传感器的,AD值变化有多少?
[答:Grainne] The AD7730 would give the best performance when connected direct
ly to the load-cell. It has 40nV RMS noise in +/-10mV input. I.e., if the load
-cell gives output signal of 20mV, the resolution of 80000 counts, i.e., 16.5
bit peak-peak resolution. The AD7730 was designed to be connected directly to
the load-cell, therefore, it has many other on-chip features such as TARE DAC,on chip self and system calibrations etc.
Most of other parts with on-chip PGA could be connected directly to the load-c
ell as well (AD7719, AD7782, AD7783, AD7714...)
Michal.  [2003-8-5 11:56:09]
[问:猫王] AD73360内部的PGA可以实现信号按比例缩小吗?就是放大倍数是0.1?
[答:William] No, the minimum gain is 0dB so it cannot attenuate signal.  If a
ttenuation is needed, one can use external components.  [2003-8-5 11:56:29] [问:junkai_cao] 这些器件都具有高的转换精度,请问接地有什么考虑
[答:Wayne] Simple, please use single ground (analog ground). For details, youcan refer to a book here:
http://www.analog.com/technology/
amplifiersLinear/training/sensorSignal.html [2003-8-5 11:56:50]
[问:qin] 什么是4-20mA回路?
[答:Eagle] 标准,可到www.analog.com 用keyword search.  [2003-8-5 11:57:00] [问:chenqs] 输出更新率和-3dB陷波频率有何关系?
[答:WenShuai] Updata rate = 0.262 * (3dB bandwidth)  [2003-8-5 11:58:07]
[问:lovelydaidai] 我曾经用过以上所述的AD7719,AD7714等24bit AD转换器,不过在我
注意了如接地、数字模拟分开、电源、PCB、SI等诸多方面的问题后,AD输出的数据最后几
位总是跳(一般能到20位不跳已经很不容易了)。请问还有什么要注意的吗?
[答:Wayne] Please note when you realize 20bit no flicker, in fact you"ve reac
hed at 22.7bit effective resolution. (please refer to the seminar material forthe 2 resolution concept).
By other words, you"ve done a good job.  [2003-8-5 11:59:04]
[问:tomzhao1] 有那些办法防止采样到的非法数据?
[答:William] Firstly, you need to follow the timing requirement of the produc
t.  Secondly, for sigma-delta ADC, if one uses a mux front-end, you need to "f
lush out" the previous data before the data is valid after one switches channe
l.  Our newer products that have mux built-in will automatically clear out theincorrect data and ensure the output is correct.  [2003-8-5 12:00:00]
[问:ljh4961] 我正在使用这个系列的产品,请问Σ Δ 的具体含义是什么?与其他AD相
比,有那些优点?跟51单片机相连需要注意那些事项?我应该使用那个通道作为信号的输
入端比较好?谢谢!打扰了
[答:WenShuai] This is the name of one kind of ADC. The advantage is its high
resolution and small size. When connect to the MCS51, take care of the groundi
ng and power supply decoupling. ADI have ADuC816/24 that integrated 51-MCU andS-D ADC. You can consider it.  [2003-8-5 12:01:16]
[问:wzy200163] 是否有多通道(4个以上),每通道增益可编程,又可抑制工频干扰,精
度可在16bit以上的产品?
[答:Mariah] AD7708,AD7709,AD7719,ADUC824,AD7714,AD7718  [2003-8-5 12:01:46] [问:li030805] Sigma-Delta ADC的线性度是如何保证的?
[答:Grainne] The linearity is inherently very high and guaranteed by architec
ture. The industrial/instrumentation sigma delta ADC are effectively only 1-bi
t ADC, the resolution is achieved by filtering the data in time.
The DNL is really 24-bit, the INL is typically 1-2ppm (guaranteed 10 - 15ppm m
ax, depends on part). Note, that the linearity is specified including on-chip
buffer, PGA etc. Michal.  [2003-8-5 12:01:57]
[问:wangxw] 有效值分辨率与一般的AD的分辨率有什么不同?
[答:WenShuai] RMS resolution is used by other kinds of ADC. We specify this t
o the peak to peak resolution is that when you select s-D ADC from difference
vendors you have to know this. p-p resolution = rms resolution - 2.7 bit  [200
3-8-5 12:04:05]
[问:84204] 请问ADI有没有适合24bits音频ADC和DAC产品适合DVD
[答:Eagle] Many, such as AD1955, AD1954. AD1871. Normally use OP275 or AD8606audio amplifier. We"ll have an new part ADDVR801 for DVD R/W.ADDVR801 is Single Chip DVD Recordable Audio Codec
Offers Performance and Functionality for DVD Recordable systems at an attracti
ve Cost
Features Stereo ADC (with ALC) – 102 dB DNR
Stereo DAC – 105 dB DNR Sample Rate Converter (SRC) – 125 dB DNR Selectable
Inputs and Outputs
Phase Locked Loop (PLL) Audio Clock Generator
Develops required on-chip and off-chip system clocks Flexible Digital Audio In
put and Output
PCM and S/PDIF Control Interface
AD1955 (Dual, 24 Bit, Data Size=16/18/20/24 Bit, 216KHz, fs=246/384/512/768*Fs
clock, Volume Control=14 bit Clickless, Dynamic Range=122dB, THD+N= -108dB, SN
R=120dB, Vsys=+5V @ 59mA, SPI I/O for control, Digital I/O=all types, Analog O
utput Diff, w/SCAD phase modulation & mute Detect, fclk=12.288MHz)
AD1954 (Triple, 24 Bit, w/ 26x22 DSP Core, DSP=26MIPS, THD+N=100dB, SNR=112dB,Stopband Atten=75 dB, 7 BiQuad Digital Filter Sections/CH, Variable delay=0>6
msec for Speaker Align, Volume Control=3 H/Ware, Data I/O=All, Vsys=+5V @ mA,
w/Phat Stereo)AD1836 (16 Bit, w/3 Stereo D/A"s 2 Stereo A/D"s, 16/18/20/24 Bit Data, 96KHz S
ampling, ADC & DAC SNR/DR=105dB, THD+N= -97dB, on chip volume control/ADC gaincontrol, Vsys=+5V @ 186mA, w/3 Line to Line Inputs, see AD1836A)  [2003-8-5 1
2:04:41]
[问:wu-2003] 输入电压在20mV-6V之间能不能不用运放,直接送给ADC,哪个型号合适?[答:William] Yes, there are many products can be used for this voltage range,e.g. OP1177 (4th generation OP07), OP27, etc.  [2003-8-5 12:04:59]
[问:wangxw] 请详细解释一下noise free 分辨率?
[答:WenShuai] This means, there will be no code flick in the output.  [2003-8
-5 12:05:15]
[问:samire] 采用Sigma-Delta ADC转换DC电平时,如何选择ADC的位数?
[答:Eagle] 主要由精度决定。  [2003-8-5 12:05:24]
[问:xu030805] ADI有没有可编程增益控制的1-2GHZ的运算放大器?
[答:Wayne] We"ve VGA ADL5330 (not PGA) which has bandwidth to 2.7GHz.
For DGA, AD8369 with BW as 500MHz.  [2003-8-5 12:05:40]
[问:callus_luo] 从ADC的测试方法上来说,除了码密度直方图法和频域分析法,还有没
有一些别的方法?
[答:Grainne] Depends on what is important for the application. The instrument
ation and industrial applications usually require high linearity and high reso
lution, therefore, INL, no-missing codes and noise-free (i.e., peak-peak) reso
lution would be the key specifications. All of these are  guaranteed and speci
fied in our datasheets.
Michal.  [2003-8-5 12:05:56]
[问:93481] 能不能推荐几个能满足高精度ADC测试用的仪器,例如稳压源、示波器、频谱
分析仪?
[答:WenShuai] You can consider Agilent.  [2003-8-5 12:06:22]
[问:samire] 如果我要重复测量一个N位精度的DC信号,如何选择ADC的位数来保证测量的
准确性?
[答:Eagle] S-D ADC can get higer resolution while decrease sample Rate.
AD7791: 19-Bit p-p Resolution (21.5 Bits Effec-tive
Resolution) @ 16.6 Hz [2003-8-5 12:07:33]
[问:renmacro] 有没有相关的和ADSP接口的资料?
[答:Mariah] If you wants to get the material, please call 800-810-1742 which
is the china application support free number.  [2003-8-5 12:07:55]
[问:21193] 片上的temp sensor 做什么用的?
[答:Adrian] There is an on-chip temperature on the AD7719 ADC, and the ADuC8x
x MicroConverters.
This can be used for measuring the ambient temperature in applications such asPressure measurement, where the temperature of the sensor needs to be known f
or temperature compensation.
The temperature of the ADC will be close to the temperature of the sensor if t
he ADC is located close to the sensor.
On the AD7719 the temperature sensor is connected to the second Auxiliary ADC,so temperature measurment can be made at the same time as the primary input s
ignal.
The temperature sensor on the AD7719 is not calibrated, so the absolute accura
cy is quoted as +/-8"C typ. However if the user-system is calibrated in the Fa
ctory at two temperatures, the temperature sensor accuracy will be improved toapproc 2 degrees..
The ADuC824 Sigma-Delta MicroConverter includes a calibrated temperature senso
r, and achieves 2"C typ accuracy.  [2003-8-5 12:08:05]
[问:ljh8412002] 能推荐适合音频应用的Σ ΔADC产品吗?
[答:William] Depending on the accuracy requirement, AD1870, AD1871 are all au
dio ADC that use sigma-delta architecture.  [2003-8-5 12:08:25]
[问:liuhzg] 我用AD7705采用轮询的方法同时采集两个通道的数据,设置采样率为500Hz
,采样率却达不到预计的结果,请问是什么原因,如何解决呢?谢谢
[答:WenShuai] When you use S-D ADC, you must take care of the swtiching time
between channels. Normally, there will be 3-4 time spend for the digital filte
r to settle. Any further technical support needed, call 800 810 1742, please. [2003-8-5 12:08:34]
[问:jielemon] 能否介绍一下SIGMA-DELTA 技术在视频方面的应用
[答:Wayne] Sigma Delta is low speed precision ADC (lower than xx KHz for 24 b
it ADC, for example), therefore, only used for Audio ADC (AD1870/1/7) or DAC (
AD1852/3/4/5)...... Video signal can be higher than 6MHz BW, therefore, no Sig
ma Delta ADC for this application.
If you"ve interested in video application, you can find video decoder (ADV7183
/5, and soon ADV7189....), video DAC (ADV712x), video encoder (ADV717x, 719x,
73xx).  [2003-8-5 12:09:58]
[问:isbamboo] ΣΔADC是如何解决要求最高的精密信号模数转换的?
[答:WenShuai] Please refer to the principles of the S-D ADC of the presentati
on. If you need it, please contact ChinaEcnet, or china.support@analog.com  [2
003-8-5 12:10:14]
[问:wwxxzz] 我现在用AD芯片作交流采样电能表,问怎样能采集到微小电流的信号,怎样放
大
[答:William] The current signal is usually very small at light load.  Dependi
ng on what you need to do, if what you need is only the current for RMS/peak c
alculation, you will have a lot of noise at the low-end of the dynamic range. You can imporve the signal level by using a sensor with larger output.  [2003
-8-5 12:11:03]
[问:chenqs] 输入信号一般需要加RC滤波电路再接入AD吗?如何确定参数?
[答:Mariah] Filter is not needed for Sigma Delta ADCs. But for other kinds ofADCs such as SAR, anti-aliasing filters are needed.  [2003-8-5 12:11:33]
[问:ljsword] How to implement high precision resistor?
[答:WenShuai] ADI doesn"t provide resistors except digi-POT.  [2003-8-5 12:11
:36]
[问:ortest] AD7705BN 1000片售价多少,杭州地区应和哪里的分销商联系?
[答:William] Please contact our Shanghai sales office at 021-64267009 for inf
ormation on our distributors.  [2003-8-5 12:11:46]
[问:qihong] 此类ad直流共模抑制比为多少dB?
[答:Eagle] 一般依靠前面的仪表放大器保证。
For example, AD8221 CMRR at 10kHz
G = 1    70  dB
G = 10   80 dB
G = 100  110 dB
G = 1000 110  [2003-8-5 12:12:12]
[问:samire] Sigma-Delta ADC中输入复接器的通道隔离或串音的最低要求有多少?比如1
6位的分辨率.
[答:Grainne] Not to affect the 16-bit accuracy, the ch-ch isolation should bebetter than 96dB (calculated as 20xlog(2^16). We usually don"t specify ch-ch
isolation in our datasheets because it is very good (>>120dB) on our mult-chan
nel part (AD7718) and would be hard to test it.
Michal.  [2003-8-5 12:13:04]
[问:luoman2000] 差分输入ADC应该选怎样择运算放大器?
[答:Eagle] Differential amp such as AD8138 with low distortion. You can also
use some VGA (AD8332 ) with intergated differential driver.  [2003-8-5 12:14:2
5]
[问:jdnjb] 我在北京,如需要技术支持打那里电话。
[答:WenShuai] You can call all over China the toll-free number 800 810 1742. [2003-8-5 12:14:35]
[问:93481] 对于一个高精度的ADC,在系统测试中如何确保pcb的noise不会对ADC的性能
产生影响?需要采用什么样的仪器测试?
[答:Wayne] It"s basically a PCB layout (including grounding) question. Theref
ore here is a link for design guide:http://www.analog.com/technology/
amplifiersLinear/training/sensorSignal.html
Also, you need to make sure all related devices are selected properly, like pr
ecision amplifier...
You need to use FFT to measure its performance. You can use ADI evaluation boa
rd to do test directly.  [2003-8-5 12:14:59]
[问:isbamboo] 请问ΣΔADC是如何解决要求最高的精密信号模数转换问题的
[答:WenShuai] Please refer to the principle of S-D ADC.  [2003-8-5 12:15:00] [问:liz] 对于没有申明是中频采样的ADC,怎么判断可否用于中频采样
[答:WenShuai] You have to buy the evaluation board and do evaluation.  [2003-
8-5 12:15:33]
[问:ljp] Sigma-Delta ADC的取样频率能做到多高?
[答:Wayne] Some part can reach 15KHz sample rate, like AD7738, AD7734.  [2003
-8-5 12:15:34]
[问:thomson] 什么场合使用1bit ADC
[答:WenShuai] 1 bit ADc is comparator.  [2003-8-5 12:15:54]
[问:ljsword] If i have the 35m 10bit ADC, how to modificate it to get the 100
m 10bit adc? thank you
[答:WenShuai] You can use several such ADCs, and input it to the same process
or. Get the clock 3X should be enough for you.  [2003-8-5 12:17:22]
[问:ljp] 输入端如何对布电容进行补偿或消除?
[答:Adrian] Stray capacitance is generally not a problem with ADI Sigma-DeltaADCs, unless the input signal has high-impedance.
If there is a large resistance on the input signal, then stray capacitance on
the ADC input can cause gain errors if the ADC input is not buffered. This is
a praticular problem for high-speed Sigma-Delta ADCs.
Several of the ADI datasheets (eg AD7714, AD7719) list the maximum Resistance
that can be tolerated for a given Capacitance when unbuffered.Using an on-chip buffer allows very large resistance and capacitance without c
ausing gain errors. Therefore unmatched RC filters can be placed on the input
for EMI rejection etc.The input buffer on recent ADI Sigma-Delta ADCs (AD7791, AD7790, AD7719, AD778
2) is a Rail-To-Rail buffer, allowing input signals to within 100mV of Vdd andGround, while the AD7738 accepts inputs to within 300mV of Vdd or Ground. Thi
s is a major benefit of Analog Devices Sigma-Delta ADCs.  [2003-8-5 12:17:36] [问:chengb] How to use them to measure True RMS.
[答:WenShuai] In fact, ADI can provide True RMS parts, AD637, AD8361/2 for ex
ample.  [2003-8-5 12:17:59]
[问:lxq00008] 请问贵公司有没有能将1mHz正弦信号的有效值直接转换成数字信号的芯片
?有的话,精度有多高?
[答:William] We don"t have any product which directly calculates this.  Howev
er, you can use a sigma-delta ADC to easily achieve this with very high accura
cy.  [2003-8-5 12:18:36]
[问:zhaoliang0804] Can you give us some examples about the fulfiled applicati
on with your ADC ? Maybe some difficult factors!
[答:WenShuai] Refer to page 20-41 of the presetation.  [2003-8-5 12:18:59] [问:92583] 具体如何设置50Hz抑止参数。谢谢。[答:Wayne] Every part has its spec on how to realize 50/60Hz rejection. Basic
ally it"s related to the update rate of the ADC.  [2003-8-5 12:19:19]
[问:lxq00008] a/d转换后数据处理我要使用正切表查询,我怎样能在最小数据位数下取得
0.1度的分辨率?这里我指的是tan(0.1),tan(0.2),...,tan(89.8), tan(89.9),括号内表示
角度.[答:Eagle] 对不同角度使用不同位数。ADC前可考虑LOG放大器(AD8305)。用浮点DSP
(ADSP-21065L) 基本不用考虑数据处理时的截尾误差。  [2003-8-5 12:19:23]
[问:tomzhao1] 看你的回答一般的ADC不用特殊处理小信号的采样(比如加放大),是这
样吗?
[答:Mariah] No. For sigma delta ADCs, PGA is integrated. So you needn"t to dosignal conditioning such as amplifying.  [2003-8-5 12:19:24]
[问:cxq] AD7705有什么升级替换产品?
[答:WenShuai] AD7705 is not an obsolete part, ADI will continue produce it. W
hat does upgrade mean?  [2003-8-5 12:19:43]
[问:cheenle] 请问如何保证货源和外围配套器件的供应?我觉得除了芯片的精度外,外
围器件也是很重要的。AD是不是有推荐的供应商?
[答:William] We don"t recommend external components.  The designer needs to c
onsider the system level accuracy requirement and assign error tolerance to ea
ch of the component.  [2003-8-5 12:20:02]
[问:ljsword] why the low power ADC need low power at low speed and the high s
peed adc need more power?
[答:Grainne] There are alwaeys capacitors (real capacitors and parasitic capa
citances) in the ADC architecture. These need to be charged / discharged durin
g the conversion and with change of the analog input signal. Faster conversionmeans faster charging and needs higher currents and higher current budget ins
ide the part. Therefore, higher speed requires more curent (power).Traditionally we have seen the higher speed parts going into applications suchas industrial systems where power is not as critical a factor. And the slowerparts such as AD7788 being used in portable application like hand held multim
eters where lower power is required. However we are always looking to reduce power as much as technically possible
so it is likely that newer sigma delta ADCs operating at the same speed will c
onsume less power even at higher speed.[2003-8-5 12:20:13]
[问:samire] 使用Sigma-Delta ADC要注意什么问题?
[答:Eagle] Input frequency should be slow, do not switch input channel very f
ast. It"s common issue we meet.  [2003-8-5 12:20:30]
[问:wenedi] 请问在高频的直接数字频率合成器中(如20mhz),16位的数模转换器需要
考虑哪些问题
[答:WenShuai] Refer to the link below:
http://www.analog.com/UploadedFiles/Tutorials
/54011189110016515183343533079104002
517DDStutor.pdf  [2003-8-5 12:20:53]
[问:xhtwork] 内置参考电压,20位精度,速度无要求,最便宜的器件是什么?
[答:William] What"s the lowest cost, 20-bit accuracy sigma-delta ADC which ha
s internal voltage reference?  [2003-8-5 12:22:37]
[问:willam_gann1] 仪器仪表用Σ ΔADC产品能否用在通信设备上?
[答:Mariah] You must consider the frequency and converting speed for your nee
d.  [2003-8-5 12:22:52]
[问:qin] 如何保证不丢失码?是Sigma-Delta ADC的固有特性`还是ADI公司设计上的技巧
?
[答:Grainne] It is inherent of the sigma-delta architecure, however, to achie
ve and keep 24-bit no-missing codes need really careful design.
We are focused on high resolution, we do test the no-missing codes performanceduring our qualification for each part to be sure that the no-missing codes p
erformance has been really achived.
Michal.  [2003-8-5 12:25:14]
[问:gzg317] 设计时,对模拟地和数字地应注意哪些问题?
[答:Eagle] 用S-D ADC时, 模拟地与数据地分开。但若信号特小,或频率特高,则不要
分。
You can down load some technical support seminar seminar in the technical supp
ort page in www.analog.com. Also refer to AN-202 and AN345.
or" Practical Analog Design Techniques  "
Section 9 Hardware Design Techniques [2003-8-5 12:25:44]
[问:li030805] Sigma-Delta ADC是COMS器件,请问在过压时如何有效地保护COMS口?  [答:Adrian] These CMOS ADCs contain diodes to Vdd and Ground internally for E
SD protection that clamp the input signal to approx 600mV beyond Vdd and Groun
d. However these diodes can not conduct current indefinitely, therefore the vo
ltage on the ADC pins needs to be limited if an over-voltage is likely to lastfor a long time.This can be done using external diodes. Alternatively, an external resistor ma
y be sufficient to protect the ADC. Provided the maximum total input current d
uring overvoltage is limited by the resistor(s) to approx 10mA, the ADC shouldnot be damaged, although the conversion accuracy on another channel may be af
fected.
The input buffer on the ADC allows large resistors to be used for protection o
f the inputs, with a leakage spec of 1nA on many ADCs.There are Sigma-Delta ADCs from Analog Devices with Resistor Inputs than can t
olerate large voltages directly. The low-power AD7707 can accept +/-10V input
directly on the High-Voltage channel, while the High-Speed AD7734 and AD7732 c
an accept +/-16.5V inputs without additional protection.  [2003-8-5 12:26:40] [问:qin] ADC的取样频率由那些因素来决定?
[答:WenShuai] The sampling rate is decided by the Nyquist sampling theory. Sa
mpling rate > 2 * signal bandwidth.  [2003-8-5 12:26:51]
[问:xky183] 请问你门的精密AD转换精度最高能达到多少?
[答:Wayne] Some part can reach 22.5 bit effective resolution, like AD7719/18,AD7791....., it"s also depending on the sample rate you used.  [2003-8-5 12:2
7:11]
[问:elantra] 请问在在目前市场中有没有商品化的高精度Σ ΔADC IP核可用?
[答:Grainne] We do not sell IP and there may be some cores for sale on the ma
rket but you should be very careful about how accurate the core is.
Grainne  [2003-8-5 12:27:20]
[问:lumingdsp] AD片内具有PLL电路么?
[答:WenShuai] Some high speed ADC has the PLL. AD9861/2 for example.  [2003-8
-5 12:27:59]
[问:yjems] 我是ADC开发的菜鸟,请问在产品设计阶段,确定ADC的采样位数的依据是什
么?仪表的量程范围与ADC的采样位数有什么关系呢?
[答:Eagle] 要看对精度的要求和最小分辨率。  [2003-8-5 12:29:07]
[问:hawkzone] For ADI"s multi-channel ADCs, can they convert data in a cycle
mode?
[答:Grainne] Yes, the AD7732 /34 /38 /39 were designed for multi-cannel appli
cations and these can cycle between channels automatically. These parts have m
any other features such us per channel settings, per channel calibrations etc.to really fit into multi-channel applications.
Michal.  [2003-8-5 12:29:59]
[问:wu-2003] 我的输入是40KHZ-500KHZ,信噪比要求大于60dB,请问选用何种器件较为
合适?
[答:Mariah] sigma delta ADCs can not satisfy your requirement. You can consid
er the SAR ADCs of ADI.For example, AD7650 is 16 bits resolution and 570KSPS. [2003-8-5 12:30:04]
[问:lxl9726] 我想做一个可变增益放大器,但信号的频带很宽,约60MHz,而且信号的幅
度在0.1mV到50mV之间,要求成本在50元以下,请问怎么做?谢谢!
[答:William] Please take a look at AD8330, AD8331 etc.  [2003-8-5 12:30:23] [问:猫王] AD73360有自校准功能吗?
[答:Adrian] The AD73360 does not have self-calibration.The absolute gain is specefied as -0.8dB to +0.8dB at 1kHz.[2003-8-5 12:30:52]
[问:callus_luo] 通常影响S-D ADC精度的因素是哪些?
[答:William] The main source of error comes from noise and temperature.  Also
, because the accuracy is so high, so one needs to be very careful of the sign
al routing on the pcb.  [2003-8-5 12:31:53]
[问:zzzhangzan] 我现在需要一款同步采集8路,至少14位的的∑△ADC,不知道贵公司能
不能提供此类的产品!!
[答:Eagle] AD7863 is 4 channel 14bit. Please contact us.
AD7863 (14 Bit Dual, 200KSPS, INL=1LSB, DNL=1LSB, Fclkin Int, Vin=0>+2.5V, 0>+
5V+./-2.5V, +/-5V, +/-10V,Vref Int=+2.5V, SFDR=82dB @ fin 100KHz, THD= -82dB @fin=100KHz, SINAD @ fin 100KHz=78dB, Vsys=+5V @ 25mA, I/O= P12 Tri-State, w/2Single Ended Inputs/ADC, Shutdown)  [2003-8-5 12:31:55]
[问:91627] 請問關於chopping的功能是ADI的專屬技術嗎?
[答:Wayne] Chopping technology is with long history, not patent of ADI. Howev
er, ADI has its own architecture of chopping realizing. I think you"re asking
about the chopping function in some Sigma Delta ADC like AD7708, 7709, 7718...
...
In fact, if you check the datasheet of ADI auto-zero amplifier AD855x, AD857x,AD8628, (we"re not simply calling them chopper amp, but auto-zero amp), you w
ill find its performance is much better than some other products on the market
.  [2003-8-5 12:32:00]
[问:huang
xiaocheng] 在AD中,经常有数字地和模拟地,还有信号地,能否都统一接到电源地上?为
什么?
[答:Mariah] Please refer to the datasheet and the link below,chapter 10:http:
//www.analog.com/technology/
amplifiersLinear/training

仪器仪表用Σ ΔADC产品相关推荐

  1. 仪器仪表的发展和应用

    仪器仪表国内市场的发展 我国仪器仪表行业发展迅速,销量持续递增,市场份额不断扩大,行业整体上处于凉性发展阶段.我国仪器仪表行业进入全新发展时期. 尽管处在全球经济不景气的背景下,但仪器仪表行业的发展还 ...

  2. 2022年仪器仪表行业研究报

    第一章 行业概况 仪器仪表是用以检出.测量.观察.计算各种物理量.物质成分.物性参数等的器具或设备.真空检漏仪.压力表.测长仪.显微镜.乘法器等均属于仪器仪表.仪器仪表是人们对客观世界的各种信息进行测 ...

  3. 仪器仪表课程上的大作业——示波器的使用

    智能仪器仪表分析--示波器的原理.使用方法及改进 本文基于实用角度介绍了模拟以及数字示波器的基本原理,着重于各个模块间的相互关系,而没有细致分析具体的电路是如何实现的.特别分析了智能仪表--数字式示波 ...

  4. 从事仪表专业学c语言有用吗,仪器仪表工程就业方向

    仪器仪表工程就业方向 仪器仪表工程专业培养机电结合,掌握机械工业自动化.电力电子和计算机应用等技术,从事机械装备运行管理,机电新产品设计.开发,计算机辅助设计.计算机辅助管理,以及机器人控制等方面工作 ...

  5. 【干货】仪器仪表常用术语汇总

    武汉天禹智控科技有限公司依托多年来从事气体分析行业的经验和强大的技术实力,经过多年研制开发和应用实践,在传感技术方面,成功的研制出拥有自主知识产权的电化学分析仪系列,红外分析仪系列,紫外气体分析仪系列 ...

  6. 计算机学校专业工学,大学专业详解:工学(仪器仪表类)

    一 专业详解 080401 测控技术与仪器 培养目标:本专业培养机电结合,掌握机械工业自动化.电力电子和计算机应用等技术,从事机械装备运行管理,机电新产品设计.开发,计算机辅助设计.计算机辅助管理,以 ...

  7. 工业仪器仪表 界面设计_如何设计时尚的仪表板界面

    工业仪器仪表 界面设计 重点 (Top highlight) Welcome to the second step by step UI guide. Since you really liked m ...

  8. 2021-2027中国电工仪器仪表市场现状及未来发展趋势

    2021-2027中国电工仪器仪表市场现状及未来发展趋势 2020年中国电工仪器仪表市场规模达到了 亿元,预计2027年可以达到  亿元,未来几年年复合增长率(CAGR)为 % (2021-2027) ...

  9. 仪器仪表制造业采购数字化方案:集中采购系统为供采双方打造更高效运转平台

    随着数字化技术的高速发展,仪器仪表已成为人工智能.工业互联网等技术与实体经济深度融合的核心纽带,仪器仪表产业在全球制造业市场格局重塑.制造业转型升级和国家高质量发展中的作用日益显现.我国仪器仪表制造业 ...

最新文章

  1. 梯度优化算法Adam
  2. UTF-8 CPP的使用
  3. python 矩阵点乘_python相乘矩阵
  4. 【转载】dirs、pushd、popd指令
  5. MySQL Sandbox---快速体验各版本MySQL
  6. [VC]WindowProc和DefWindowProc函数
  7. 支撑阻力指标_使用k表示聚类以创建支撑和阻力
  8. ORACLE添加字段、删除字段
  9. 笔试面试常考数据结构-单链表常用操作编程实现
  10. wx.checkjsapi是写在config里面吗_理解了异地恋,就理解如何配置交换机,你理解了吗?...
  11. 监听套接字是非阻塞的,accept()返回的连接套接字是否也是阻塞的?
  12. matlab数字图像处理实验一:图像的读取显示存储、平移镜像放大缩小及旋转
  13. c语言银行卡六位密码编译,6位随机密码生成器
  14. android微信打不开怎么办,微信打不开怎么回事 微信打不开怎么办
  15. linux宕机故障分析案例,[文章]Linux宕机故障分析案例
  16. 网易即时通讯云平台99.99%可靠性的运维经验谈
  17. 使用PYthon绘制小狗狗来讨 girl friend 喜欢
  18. 使用canvas对图片进行裁切
  19. python中去掉字符串中的空格
  20. tailwindcss 官网(六)定制:配置( `tailwind.config.js `、-p、important、核心插件、`resolveConfig`)、主题 `theme` 配置

热门文章

  1. 婴儿用品的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告
  2. nginx配置静态资源为https
  3. 目标检测算法模型YOLOV3原理及其实战 课程简介
  4. 夜里走了很多路,醒来还是在床上
  5. 超详细教程,一文入门Istio架构原理及实战应用
  6. c语言10以内的加法程序代码,怎样用c语言编写个能随机出10以内加减法题目的程序...
  7. ant design Modal遮罩层颜色加深 解决方案
  8. 博士申请 | 美国范德堡大学NDS实验室招收数据挖掘/机器学习全奖博士生
  9. 10个高质量免费学习网站
  10. 4K修复经典老电影背后的科学