在使用Vivado进行开发的时候,有时候使用fifo会报如下严重警告[Common17-55] 'get_property' expects at least one object [ “k7_srio_prj.srcs/sources_1 /ip/fifo_generator_0 /fifo_generator_0 /fifo_generator_ 0_clocks. xdc ": 64 ]  ,由于能继续向下进行生成bit文件,所以没有太注意,今天调试工程的时候又遇到该问题,随决定花些时间解决下。

根据警告提示查看xdc文件,发下如下两行代码如下:

set wr_clock         [get_clocks -of_objects [get_ports wr_clk]]
set rd_clock         [get_clocks -of_objects [get_ports rd_clk]]

在Xilinx官网上AR# 63960给出了答案,get_clocks-of_objects <pin/port/net>用于获得时钟名称,如果不在该xdc应用前定义fifo中wr_clk、rd_clk管脚的时钟名称,就会报出如上严重警告。通过tcl命令report_compile_order-constraints可以获得工程中调用xdc文件的顺序。fifo_generator_0_clocks.xdc在工程主k7_srio_top.xdc文件之后进行调用,而在k7_srio_top.xdc文件并未对fifo使用的时钟进行命名,所以就会出现严重警告。

在k7_srio_top.xdc文件加入如下语句,用于创建fifo rd_clk wr_clk时钟名称,既可以解决该问题,加入如下代码:

create_clock -period 104166.000 -nameuart_tx_clk -waveform {0.000 52083.000} -add [get_pinsuart_rx_tx_module/uart_clk_generate/uart_tx_clk_reg/Q]
create_clock -period 13020.000 -nameuart_rx_clk -waveform {0.000 6510.000} -add [get_pinsuart_rx_tx_module/uart_clk_generate/uart_rx_clk_reg/Q]
set_clock_groups -asynchronous -groupclk_out2_clk_wiz_0 -group uart_rx_clk
set_clock_groups -asynchronous -group clk_out2_clk_wiz_0 -group uart_tx_clk

如有问题需要讨论, 微信 open_chengzhen qq 123186738

Vivado中FIFO遇到【Common17-55】警告总结相关推荐

  1. vivado中FIFO IP核的Standard FIFO和First-word-Fall-Through模式的仿真比较

    1.Standard FIFO与First-word-Fall-Through(简称FWFT) 在vivado中例化fifo的IP核的时候,在native ports部分有两种模式可以选择,如下图所示 ...

  2. Vivado中MIG核中DDR的读写控制

    本文使用Vivado 2015.4在Nexys4 DDR(以下简称N4DDR)开发板上实现DDR的读写. · FPGA如果需要对DDR进行读写,则需要一个DDR的控制器.根据官方的文档(UG586,下 ...

  3. 【Vivado那些事儿】VIVADO中时序报告中WNS,WHS,TNS,THS含义

    VIVADO中时序报告中WNS,WHS,TNS,THS含义 运行"report_timing"或"report_timing_summary"命令后,会注意到 ...

  4. Vivado中使用逻辑分析仪ILA

    FPGA综合出来的电路都在芯片内部,基本上是没法用示波器或者逻辑分析仪器去测量信号的,所以xilinx等厂家就发明了内置的逻辑分析仪.在vivado中叫 ILA(Integrated Logic An ...

  5. 【Vivado那些事儿】Vivado中运行时出现visual c++运行错误的解决办法

    Vivado中运行时出现visual c++运行错误的解决办法 Win10系统中运行Vivado一直好好的,某一天突然出现visual c++运行错误,如下图所示: 修复也没什么作用,卸载重装是同样的 ...

  6. Tcl在Vivado中的使用

    http://blog.chinaaet.com/detail/36014 Vivado是Xilinx最新的FPGA设计工具,支持7系列以后的FPGA及Zynq 7000的开发.与之前的ISE设计套件 ...

  7. Vivado 中如何使用 AXI DMA, PL 访问 DDR

    起始工程是原来的helloworld 工程,若有不清楚,那就先实验了helloworld,再来开始这个实验. http://www.fpgadeveloper.com/2014/08/using-th ...

  8. AXI三种接口及DMA DDR XDMA介绍(应用于vivado中的ip调用)

    一.AXI--高级可扩展接口(UG1037) 参考资源: [SDK篇_58~62_AXI接口简介[Xilinx]+[Vivado]+[AXI4总线]+[FPGA]-哔哩哔哩] 关于AXI握手过程都讲解 ...

  9. Vivado中使用IP核SelectIO配置LVDS学习笔记

    使用转串器OSERDESE与解串器ISERDESE实现自加数回环(数据不匹配)功能仿真. 仿真图如下: 仿真代码如下: `timescale 1ns / 1psmodule lvds_loop_tb( ...

最新文章

  1. 学着学着Linux系统写篇心得
  2. 【正则表达式系列】一些概念(字符组、捕获组、非捕获组)
  3. 在VC++中创建DLL文件并加载
  4. 一个插件让你在 Redux 中写 promise 事半功倍
  5. mysql数据优化--数据库结构的优化
  6. Visual Studio编译选项简介
  7. Android入门(10)| Fragment碎片详解
  8. 如何正确下载、安装Codeblocks?
  9. java第一句_Java如何开始第一个项目?
  10. scheduled 每月最后一天_单周、双周、每月?哪种定投方式收益最高?
  11. C++函数参数是结构体或者数组(cstdarg/.../va_arg/va_end)
  12. 实战篇:教你建设企业销售分析系统
  13. php单元格字体颜色,PHPExcel API接口用法大全,按模板导入excel,美化excel,导出图片,设置单元格字体颜色背景色边框,合并单元格,设置行高列宽...
  14. java开发之分页查询
  15. linux硬盘掉了,出了大问题,硬盘空间大量丢失
  16. 3D打印文件制作,以及3D打印机使用
  17. RGB565常见颜色对照表
  18. 51单片机最小系统及晶振电容的选择
  19. 怎样在图片上编辑文字?这几种方法可以进行简单的编辑
  20. 调整Exchange接收连接器延迟参数解决SMTP代发送邮件问题

热门文章

  1. 学做网站论坛怎么样?分享新手学习建网站5天感受
  2. 乐山—都江堰青城山精彩游记
  3. 六、路由(routing)
  4. 【双十一前专访手机淘宝技术小二南天】技术就要左右互博,耗尽一切能量
  5. 《Rework》读书笔记
  6. 求解TSP问题(python)(穷举、最近邻居法、opt-2法、动态规划、插入法)
  7. 小鸟飞行游戏【附源码】
  8. NAOMI: Non-Autoregressive MultiresolutionSequence Imputation(非自回归多分辨率序列填补)论文详解
  9. Python之Turtle库绘制简单图形
  10. 花拳绣腿的「融360」:金融AI第一股今安在?|| 新芒X