实验一运算器组成实验_微程序控制器方式实验报告


一、实验目的

(1)熟悉逻辑测试笔的使用方法。
(2)熟悉 TEC-8 模型计算机的节拍脉冲 T1、T2、T3;
(3)熟悉双端口通用寄存器组的读写操作;
(4)悉运算器的数据传送通路;
(5)验证 74LS181 的加、减、与、或功能;
(6)按给定的数据,完成几种指定的算术、逻辑运算运算。

二、实验结果

根据实验结果填写表1-1。

三、实验总结

1.制器转换开关拨到微程序位置,将编程开关设置为正常位置,将开关DP拨到向上位置。打开电源。
2. 按复位按钮 CLR,使 TEC-8 实验系统复位。指示灯 µA5~µA0 显示 00H。
3. 将操作模式开关设置为SWC=1、SWB=0、SWA=1, 按一次 QD 按钮
4. 指示灯 µA5~µA0 显示 0BH。在数据开关 SD7~SD0 上设置数 A, 按一次 QD 按钮
5. 指示灯 µA5~µA0 显示 15H在数据开关 SD7~SD0 上设置数 B, 按一次 QD 按钮
6. 指示灯 µA5~µA0 显示 16H。指示灯 A7~A0 显示被加数 A(R0),指示灯 B7~B0 显示加数 B(R1),D7~D0 指示灯显示运算结果 A+B。
此时填写数A数B和加法结果
按一次 QD 按钮
7. 指示灯 µA5~µA0 显示 17H。这时指示灯 C(红色)显示加法运算得到的进位 C,指示灯 Z(绿色)显示加法运算得到的结果为 0 信号。指示灯 D7~D0 显示运算结果 A-B。,
此时填写加法的C,Z和减法结果。
按一次 QD 按钮
8. 指示灯 µA5~µA0 显示 18H。这时指示灯 C(红色)显示减法运算得到的进位 C,指示灯 Z(绿色)显示减法运算得到的结果为 0 信号。D7~D0 显示运算结果 A and B。
此时填写减法的C,Z和与运算结果
按一次 QD 按钮
9. µA5~µA0 显示 19H。这时指示灯 Z(绿色)显示与运算得到的结果为 0 信号。指示灯 D7~D0 显示运算结果 A or B。
此时填写与运算的Z,和或运算结果
按一次 QD 按钮
10. µA5~µA0 显示 00H。这时指示灯 Z(绿色)显示或运算得到的结果为 0 信号。
此时填写或运算Z

组成原理——实验一运算器组成实验_微程序控制器方式相关推荐

  1. 实验三双端口存储器实验_微程序控制器方式实验报告

    一.实验目的 (1)了解双端口静态存储器IDT7132 的工作特性及其使用方法: (2)了解半导体存储器怎样存储和读取数据: (3)了解双端口存储器怎样并行读写: (4)熟悉TEC-8 模型计算机中存 ...

  2. 实验五数据通路实验_微程序控制器方式实验报告

    一.实验目的 (1)进一步熟悉 TEC-8 模型计算机的数据通路的结构: (2)进一步掌握数据通路中各个控制信号的作用和用法: (3)掌握数据通路中数据流动的路径. 二.实验任务 1. 将数75H写到 ...

  3. 计算机组成原理实验基本运算器,计算机组成原理-实验一-运算器组成实验

    <计算机组成原理-实验一-运算器组成实验>由会员分享,可在线阅读,更多相关<计算机组成原理-实验一-运算器组成实验(4页珍藏版)>请在人人文库网上搜索. 1.实验一 运算器组成 ...

  4. 【北邮】计算机组成原理实验:实验一 运算器组成实验

    实验一 运算器组成实验 实验目的 ⑴熟悉逻辑测试笔的使用方法. ⑵熟悉 TEC-8 模型计算机的节拍脉冲 T1.T2.T3: ⑶熟悉双端口通用寄存器组的读写操作: ⑷熟悉运算器的数据传送通路: ⑸验证 ...

  5. 远程FPGA虚拟实验平台用SystemVerilog HDL实现微程序控制器

    远程FPGA虚拟实验平台用SystemVerilog HDL实现微程序控制器 原理 参考材料 实验内容 源代码 参考材料 VirtalBoard uAR 实验内容 ROM模块 ROM的uprogram ...

  6. 华中科技大学组原实验记录 运算器ALU实验

    本实验是华科大三的核心课计算机组成原理的配套实验,设计非常良心,而且理论课和实验课都在mooc上有全套视频,地址为计算机组成原理_中国大学MOOC,实验所用的软件资源/测试电路也全部开放,地址为:计算 ...

  7. PC_控制器_微程序控制器和硬布线控制器

    文章目录 硬布线控制器

  8. 计算机组成原理实验四 微程序控制器实验报告

    我班算是几乎最后一个做实验的班级了,报告参考了一些朋友提供的数据加上一些自己的主观拙见,本人水平有限加之制作仓促难免有错误,望大家批评指正. 4.1 微程序控制器实验 一.实验目的 (1) 掌握微程序 ...

  9. 8位运算器实验 计算机组成原理,计算机组成原理-运算器组成实验.doc

    南通大学计算机科学与技术学院上机实验报告 课程名称:计算机组成原理 年级: 上机日期:2014 姓名: 学号: 班级: 实验名称:实验二运算器组成实验 教师: 成绩: 一.目的及要求 一.实验目的 1 ...

最新文章

  1. JS中的NaN和isNaN,简直是双重人格?
  2. 一个项目的性能优化经验
  3. linux之杀死某个应用或命令的一行终极命令
  4. 芒果云接吗_芒果糯米饭是生产力的关键吗?
  5. 实例解析linux内核I2C体系结构(2)
  6. 检测php常量是否存在,php判断变量常量是否存在
  7. OkHttp 3.x 源码解析之Dispatcher分发器
  8. 如歌将两个数组合并_将数组数据拆分后再合并,作为字典的键,实现多条件数据汇总...
  9. vSphere虚拟机磁盘热扩容
  10. 测试端口的命令 linux,linux的ping命令端口号
  11. 带瀑布流的电钢琴_你没有看错 这个Find智能钢琴它会自己弹琴
  12. java排序之选择排序
  13. 《国际学术论文写作与发表》课后题
  14. 安卓如何关闭软键盘?
  15. 深度解读扫地机器人的导航原理
  16. vue IconPark 图标 的使用
  17. Java工程师未来的三大就业方向
  18. 【Git的学习笔记】老板让我用Git提交,但我还没学过Git
  19. 0 为什么学习stm32f103
  20. 作为篮球迷,我有一些话想说

热门文章

  1. 库克讲述苹果收购Beats的原因
  2. Python Pandas 综合练习
  3. Springboot定时任务 @Scheduled
  4. 题目:1313.解压缩编码列表
  5. playwright自定义浏览器设备、时区、经纬度、userAgent、注入脚本
  6. AIGC学习,AI绘画、AI写作、国内外研究现状等
  7. USB学习入门(五)------蓦然回首,那人却在灯火阑珊处
  8. JavaEE知识体系梳理
  9. android linker重定位后初始化
  10. 主机连接docker的mysql_宿主机连接docker中的mysql