一. 简介

当前最流行的Verilog仿真软件分别是Mentor公司的Questasim/ModelSim,Cadence公司的NC-Sim/NC-Verilog 和 Synopsys公司的VCS。这些软件的特点是功能强大,操作较复杂,上手困难以及收费,对初学者来说这些软件不那么友好。这里介绍一款适合初学者的轻量级Verilog仿真平台:Icarus Verilog + GTKWave,前者是个仿真器,后者是个波形查看器。

Icarus Verilog,简称iverilog,号称是“全球第四大”数字芯片仿真器,并且完全开源,国外很多高校在数字芯片设计的教学中都采用iverilog。

GTKWave是一个开源的波形文件查看器,支持Verilog VCD/EVCD文件格式。

因此,通过 iverilog + GTKWave 的方式,可以很方便地实现商用仿真器的功能。

二. 下载

Windows版Icarus Verilog下载官网:http://bleyer.org/icarus/

三. 安装

双击exe文件安装。

四. 注意

1.iverilog没有gui界面,是通过命令行工具调用运行的,所以它的桌面快捷方式双击打开就是它的安装文件夹,而不像其它软件一样,双击打开是软件界面。

2.检查用户/系统环境变量PATH中是否包含了iverilog和GTKWave的bin文件夹路径,两个软件的可执行程序都在各自的bin文件夹中,需要添加到PATH(用户PATH或系统PATH随便哪个都行)中,以便在cmd中直接调用。

Icarus Verilog与GTKWave简介及其下载安装相关推荐

  1. Verilator简介及其下载安装卸载

    一. 简介 Verilator是一种Verilog/SystemVerilog仿真器,可用于编译代码以及代码在线检查.Verilato和rIverilog都号称是全球第四大HDL仿真器,两者都是开源免 ...

  2. Git简介及其下载 安装 卸载

    一. 简介 GIT(分布式版本控制系统)_百度百科 (baidu.com) git官网:https://git-scm.com/ Git是一个免费的开源分布式版本控制系统,旨在快速高效地处理从小型到超 ...

  3. Anaconda简介及其下载 安装 配置 使用 卸载

    一. 简介 anaconda(开源的Python发行版本)_百度百科 (baidu.com) Anaconda指的是一个开源的Python发行版本,其包含了conda.Python等180多个科学包及 ...

  4. ISE简介及其下载 安装 和谐 与 卸载

    一. 简介 ISE的全称为Integrated Software Environment,即"集成软件环境",是Xilinx公司的硬件设计工具. Xilinx官网ISE存档:htt ...

  5. Cygwin简介及其下载安装卸载

    一. 简介 Cygwin是一个在windows平台上运行的类UNIX模拟环境,是cygnus solutions公司开发的自由软件.它是GNU 和开源工具的集合,提供的功能类似于在Windows操作系 ...

  6. dbmonster简介,下载安装

    一:dbmonster简介 在 进行某些侧重数据计算相关的应用(例如报表程序),或是在对一个应用进行负载和压力测试时,往往需要在数据库中产生大量的负载,对测试工程师来说,这个工 作往往会不那么轻松.当 ...

  7. uDig简介和下载安装与设置中文语言包

    场景 uDig简介 uDig是一个 open source (EPL and BSD) 桌面应用程序框架,构建在Eclipse RCP和GeoTools(一个开源的Java GIS工具包)上的桌面GI ...

  8. cpython下载_一、Python简介及下载安装

    一.关于Python Python是目前比较受欢迎的脚本语言之一,具有简洁性.易读性以及可扩展性的特点. Python与Java均可以写网页,也可以写后台功能,区别是Python执行效率低,开发效率高 ...

  9. 零基础学Python - 1 - Python简介及下载安装

    大家好,我是 -- 亿年级的同鞋 零基础学Python,现在正式开始! Python的创始人为荷兰人吉多·范罗苏姆. 由于Python语言的简洁性.易读性以及可扩展性,在国外用Python做科学计算的 ...

最新文章

  1. 数据结构算法的引言+时间复杂度
  2. php函数的实现原理及性能分析
  3. python学习面向对象_python学习之面向对象学习
  4. ITK:图像的拉普拉斯算子
  5. 看看差距--完备技术面试
  6. Android Binder漏洞挖掘技术与案例分享
  7. sql 成功率_备考2022年MBA: 如何提高清华、北大MBA提前面试成功率|博雅汇MBA
  8. 计算机工作操作中一些问题,计算机二级考试中操作题常见问题之[电子表格]
  9. 习题:Dual Matrices(思路题/分治)
  10. 获取httpservletrequest所有参数的名称和值
  11. grub-install: warning: this GPT partition label contains no BIOS Boot Partition; embedding won’t be
  12. Android SurfaceView概述
  13. 小程序根据国外经纬度显示地图
  14. 直播带货系统开发,如何实现一个简单的直播平台
  15. AcWing 225. 矩阵幂求和
  16. Linux 安装 MySQL 8.0 并配置远程登录
  17. 微信开放平台之第三方平台开发,模板小程序如何提交?
  18. Allegro PCB Design GXL (legacy) 从dxf文件中导入板框
  19. 如何在idea中配置SVN
  20. 知识点 —— Python进阶-3

热门文章

  1. [CH Round #61] 取数游戏
  2. virtualbox 创建桥接网络_Windows 10下的Virtualbox中的桥接网络不起作用
  3. oracle 三列数值相加,Oracle SQL/PLSQL:按货币拆分和求和值的分层查询
  4. Vulhub搭建小记
  5. luogu P3786 萃香抱西瓜
  6. What's New In DevTools (Chrome 59)来看看最新Chrome 59的开发者工具又有哪些新功能
  7. Python3实现TCP端口扫描器
  8. explode() 字符串转换数组
  9. 解决ASP.NET中的各种乱码问题
  10. 【EXLIBRIS】随笔记 006