LFSR的工作原理以及LFSR在CRC上的应用

一 LFSR

线性反馈移位寄存器(LFSR)是内测试电路中最基本的标准模块结构,既用作伪随机测试码产生器,也作为压缩测试结果数据的特征分析器。

一个n阶的LFSR由n个触发器和若干个异或门组成。在实际应用当中,主要用到两种类型的LFSR,即异或门外接线性反馈移位寄存器(IE型LFSR,图1)和异或门内接线性反馈移位寄存器(EE型LFSR,图2)。其中g0 g1g2 gn为’0’或’1’,Q1 Q2Q3 Qn为LFSR的输出,M(x)是输入的码字多项式,如M(x)=x4+ x1+1,表示输入端的输入顺序为11001,同样,LFSR的结构也可以表示为多项式G(x),称为生成多项式:

G(x)=gn*xn+ …+g1*x1+g0;

图1 IE型LFSR

图2 EE型LFSR

以n = 3来做个例子,具体的电路图如图3所示:

图3 LFSR的电路结构

假设开始的时候(D2,D1,D0 ) = (0,0,1),那么每过一个时钟周期会进行跳变一次,可以看到具体的跳变如图4所示:

图4 LFSR的输出跳变图

然后我们可以看到这个计数器循环起来了,无论进入那样一个状态除了0之外,都可以循环着回来,其实这里就相当于了一个3bit的伪随机数,很有意思,不是所有的多项式都有这个特性,我们现在在从数学上面来看看这个问题,其实最上面的电路是可以看成是一个除法电路,在Galois域的一个除法电路。现在假设的是R(x)是寄存器中剩余的数据,M(x)是输入的码字多项式,然后数学公式可以表示成:

然后分别计算出了M(x)的各种情况,

对于这个部分的计算我开始走进了误区,因为开始我把这的除法当作二进制除法来算了,所以一直没得到正确的结果,后来我明白了这的除法是模二的除法,在LFSR的结果中,多项式中的“+”都是模2加,就是异或运算,所以是没有进位的概念;同样,这里的除法秀的也是模2除法,即除法过程中用到的减法是模2减法,是不会产生加法进位和减法借位的运算,所以在进行模2除法时,只要部分余数首位为1,便可上商1,否则上商0,然后按照模2减法求得余数,当被除数被除完时,最后得到比除数少一位的余数。

这里用一个例子说明一下,比如M(x)=x7时,R(x)=1;模2的计算公式如下:

所以这里一定要区别模2和二进制数之间的运算的区别。

M(x)和R(x)到底是什么意义呢?

比如M(x)=1时,R(x)=1,指的就是当M(x)输入一个1时,这时的R(x)为1,即寄存器剩余的数为001,即Q1=1,Q2=0,Q3=0。

又M(x)=x时,R(x)=x,指的就是当M(x)顺序输入1,0时,这时的R(x)为x,即寄存器剩余的数为010,即Q1=0,Q2=1,Q3=0。

同理,可以知道,当M(x)=x6时,R(x)=x2+1,指的就是当M(x)顺序输入1,0,0,0,0,0,0时,这时的R(x)为x2+1,即寄存器剩余的数为010,即Q1=1,Q2=0,Q3=1。

可以看出,当第一个时钟时输入端输入一个1时,以后保持输入端为0,则随着时钟的到来,输入码字多项式就是按照1,x,x2,x3,x4,x5,x6,x7,…,xn这样的顺序发展着,观察前六个输入的R(x)分别对应的输出为:001,010,100,011,101,111,101,111,刚好为除去000的其他七个状态,当M(x)为x7时,输出又回来001,所以输出一直这样循环下去,因此LFSR可以用来BIST的伪随机测试码产生器。

二 CRC(参考博文http://blog.sina.com.cn/s/blog_468e65190100cxq3.html)

循环冗余校验码(CRC)的基本原理是:在K位信息码后再拼接R位的校验码,整个编码长度为N位,因此,这种编码又叫(N,K)码。对于一个给定的(N,K)码,可以证明存在一个最高次幂为N-K=R的多项式G(x)可以使整个编码被除余数为0。根据G(x)可以生成K位信息的校验码,而G(x)叫做这个CRC码的生成多项式。校验码的具体生成过程为:假设发送信息用信息多项式C(X)表示,将C(x)左移R位,则可表示成C(x)*2的R次方,这样C(x)的右边就会空出R位,这就是校验码的位置。通过C(x)*2的R次方除以生成多项式G(x)得到的余数就是校验码。

通过CRC的生成原理知道CRC的检验码生成是通过除法得到,由此联想到可以通过LFSR来产生校验码。

假设原信息码子多项式为

生成多项式为

那么CRC的码字为 ,使用用LFSR电路来进行实现,将M(x)向左移r位在电路中的意义即为输入完信息码后再输入r个0,所以在电路上的表现就如图5所示。

图5 使用LFSR来产生CRE校验码

将这个时刻产生的寄存器输入添加到原信息码的后边就进行完了CRC编码,同样接收端可以使用LFSR来进行CRC检验。

来自:http://blog.sina.com.cn/s/blog_62d9edac01015lsd.html

CRC算法与实现

[ 2009-8-15 2:04:00 | By: Aries ]

CRC的全称为Cyclic Redundancy Check,中文名称为循环冗余校验。它是一类重要的线性分组码,编码和解码方法简单,检错和纠错能力强,在通信领域广泛地用于实现差错控制。实际上,除数据通信外,CRC在其它很多领域也是大有用武之地的。例如我们读软盘上的文件,以及解压一个ZIP文件时,偶尔会碰到“Bad CRC”错误,由此它在数据存储方面的应用可略见一斑。

差错控制理论是在代数理论基础上建立起来的。这里我们着眼于介绍CRC的算法与实现,对原理只能捎带说明一下。若需要进一步了解线性码、分组码、循环码、纠错编码等方面的原理,可以阅读有关资料。

利用CRC进行检错的过程可简单描述为:在发送端根据要传送的k位二进制码序列,以一定的规则产生一个校验用的r位监督码(CRC码),附在原始信息后边,构成一个新的二进制码序列数共k+r位,然后发送出去。在接收端,根据信息码和CRC码之间所遵循的规则进行检验,以确定传送中是否出错。这个规则,在差错控制理论中称为“生成多项式”。

1 代数学的一般性算法

在代数编码理论中,将一个码组表示为一个多项式,码组中各码元当作多项式的系数。例如 1100101 表示为

1·x6+1·x5+0·x4+0·x3+1·x2+0·x+1,即 x6+x5+x2+1。

设编码前的原始信息多项式为P(x),P(x)的最高幂次加1等于k;生成多项式为G(x),G(x)的最高幂次等于r;CRC多项式为R(x);编码后的带CRC的信息多项式为T(x)。

发送方编码方法:将P(x)乘以xr(即对应的二进制码序列左移r位),再除以G(x),所得余式即为R(x)。用公式表示为

T(x)=xrP(x)+R(x)

接收方解码方法:将T(x)除以G(x),如果余数为0,则说明传输中无错误发生,否则说明传输有误。

举例来说,设信息码为1100,生成多项式为1011,即P(x)=x3+x2,G(x)=x3+x+1,计算CRC的过程为

xrP(x) x3(x3+x2) x6+x5 x

-------- = ---------- = -------- = (x3+x2+x) + --------

G(x) x3+x+1 x3+x+1 x3+x+1

即 R(x)=x。注意到G(x)最高幂次r=3,得出CRC为010。

如果用竖式除法,计算过程为

1110

-------

1011 /1100000 (1100左移3位)

1011

----

1110

1011

-----

1010

1011

-----

0010

0000

----

010

因此,T(x)=(x6+x5)+(x)=x6+x5+x, 即 1100000+010=1100010

如果传输无误,

T(x) x6+x5+x

------ = --------- = x3+x2+x,

G(x) x3+x+1

无余式。回头看一下上面的竖式除法,如果被除数是1100010,显然在商第三个1时,就能除尽。

上述推算过程,有助于我们理解CRC的概念。但直接编程来实现上面的算法,不仅繁琐,效率也不高。实际上在工程中不会直接这样去计算和验证CRC。

下表中列出了一些见于标准的CRC资料:

名称

生成多项式

简记式*

应用举例

CRC-4

x4+x+1

ITU G.704

CRC-12

x12+x11+x3+x+1

CRC-16

x16+x12+x2+1

1005

IBM SDLC

CRC-ITU**

x16+x12+x5+1

1021

ISO HDLC, ITU X.25, V.34/V.41/V.42, PPP-FCS

CRC-32

x32+x26+x23+...+x2+x+1

04C11DB7

ZIP, RAR, IEEE 802 LAN/FDDI, IEEE 1394, PPP-FCS

CRC-32c

x32+x28+x27+...+x8+x6+1

1EDC6F41

SCTP

* 生成多项式的最高幂次项系数是固定的1,故在简记式中,将最高的1统一去掉了,如04C11DB7实际上是104C11DB7。

** 前称CRC-CCITT。ITU的前身是CCITT。

2 硬件电路的实现方法

多项式除法,可用除法电路来实现。除法电路的主体由一组移位寄存器和模2加法器(异或单元)组成。以CRC-ITU为例,它由16级移位寄存器和3个加法器组成,见下图(编码/解码共用)。编码、解码前将各寄存器初始化为"1",信息位随着时钟移入。当信息位全部输入后,从寄存器组输出CRC结果。

3 比特型算法

上面的CRC-ITU除法电路,完全可以用软件来模拟。定义一个寄存器组,初始化为全"1"。依照电路图,每输入一个信息位,相当于一个时钟脉冲到来,从高到低依次移位。移位前信息位与bit0相加产生临时位,其中bit15移入临时位,bit10、bit3还要加上临时位。当全部信息位输入完成后,从寄存器组取出它们的值,这就是CRC码。

typedef unsigned char bit;

typedef unsigned char byte;

typedef unsigned short u16;

typedef union {

u16 val;

struct {

u16 bit0 : 1;

u16 bit1 : 1;

u16 bit2 : 1;

u16 bit3 : 1;

u16 bit4 : 1;

u16 bit5 : 1;

u16 bit6 : 1;

u16 bit7 : 1;

u16 bit8 : 1;

u16 bit9 : 1;

u16 bit10 : 1;

u16 bit11 : 1;

u16 bit12 : 1;

u16 bit13 : 1;

u16 bit14 : 1;

u16 bit15 : 1;

} bits;

} CRCREGS;

// 寄存器组

CRCREGS regs;

// 初始化CRC寄存器组:移位寄存器置为全"1"

void crcInitRegisters()

{

regs.val = 0xffff;

}

// CRC输入一个bit

void crcInputBit(bit in)

{

bit a;

a = regs.bits.bit0 ^ in;

regs.bits.bit0 = regs.bits.bit1;

regs.bits.bit1 = regs.bits.bit2;

regs.bits.bit2 = regs.bits.bit3;

regs.bits.bit3 = regs.bits.bit4 ^ a;

regs.bits.bit4 = regs.bits.bit5;

regs.bits.bit5 = regs.bits.bit6;

regs.bits.bit6 = regs.bits.bit7;

regs.bits.bit7 = regs.bits.bit8;

regs.bits.bit8 = regs.bits.bit9;

regs.bits.bit9 = regs.bits.bit10;

regs.bits.bit10 = regs.bits.bit11 ^ a;

regs.bits.bit11 = regs.bits.bit12;

regs.bits.bit12 = regs.bits.bit13;

regs.bits.bit13 = regs.bits.bit14;

regs.bits.bit14 = regs.bits.bit15;

regs.bits.bit15 = a;

}

// 输出CRC码(寄存器组的值)

u16 crcGetRegisters()

{

return regs.val;

}

crcInputBit中一步一步的移位/异或操作,可以进行简化:

void crcInputBit(bit in)

{

bit a;

a = regs.bits.bit0 ^ in;

regs.val >>= 1;

if(a) regs.val ^= 0x8408;

}

细心的话,可以发现0x8408和0x1021(CRC-ITU的简记式)之间的关系。由于我们是从低到高输出比特流的,将0x1021左右反转就得到0x8408。将生成多项式写成 G(x)=1+x5+x12+x16,是不是更好看一点?

下面是一个典型的PPP帧。最后两个字节称为FCS( Check Sequence),是前面11个字节的CRC。

FF 03 C0 21 04 03 00 07 0D 03 06 D0 3A

我们来计算这个PPP帧的CRC,并验证它。

byte ppp[13] = {0xFF, 0x03, 0xC0, 0x21, 0x04, 0x03, 0x00, 0x07, 0x0D, 0x03, 0x06, 0x00, 0x00};

int i,j;

u16 result;

/// 以下计算FCS

// 初始化

crcInitRegisters();

// 逐位输入,每个字节低位在先,不包括两个FCS字节

for(i = 0; i < 11; i++)

{

for(j = 0; j < 8; j++)

{

crcInputBit((ppp[i] >> j) & 1);

}

}

// 得到CRC:将寄存器组的值求反

result = ~crcGetRegisters();

// 填写FCS,先低后高

ppp[11] = result & 0xff;

ppp[12] = (result >> 8) & 0xff;

/// 以下验证FCS

// 初始化

crcInitRegisters();

// 逐位输入,每个字节低位在先,包括两个FCS字节

for(i = 0; i < 13; i++)

{

for(j = 0; j < 8; j++)

{

crcInputBit((ppp[i] >> j) & 1);

}

}

// 得到验证结果

result = crcGetRegisters();

可以看到,计算出的CRC等于0x3AD0,与原来的FCS相同。验证结果等于0。初始化为全"1",以及将寄存器组的值求反得到CRC,都是CRC-ITU的要求。事实上,不管初始化为全"1"还是全"0",计算CRC取反还是不取反,得到的验证结果都是0。

4 字节型算法

比特型算法逐位进行运算,效率比较低,不适用于高速通信的场合。数字通信系统(各种通信标准)一般是对一帧数据进行CRC校验,而字节是帧的基本单位。最常用的是一种按字节查表的快速算法。该算法基于这样一个事实:计算本字节后的CRC码,等于上一字节余式CRC码的低8位左移8位,加上上一字节CRC右移8位和本字节之和后所求得的CRC码。如果我们把8位二进制序列数的CRC(共256个)全部计算出来,放在一个表里 ,编码时只要从表中查找对应的值进行处理即可。

CRC-ITU的计算算法如下:

a.寄存器组初始化为全"1"(0xFFFF)。

b.寄存器组向右移动一个字节。

c.刚移出的那个字节与数据字节进行异或运算,得出一个指向值表的索引。

d.索引所指的表值与寄存器组做异或运算。

f.数据指针加1,如果数据没有全部处理完,则重复步骤b。

g.寄存器组取反,得到CRC,附加在数据之后。

CRC-ITU的验证算法如下:

a.寄存器组初始化为全"1"(0xFFFF)。

b.寄存器组向右移动一个字节。

c.刚移出的那个字节与数据字节进行异或运算,得出一个指向值表的索引。

d.索引所指的表值与寄存器组做异或运算。

e.数据指针加1,如果数据没有全部处理完,则重复步骤b (数据包括CRC的两个字节)。

f.寄存器组的值是否等于“Magic Value”(0xF0B8),若相等则通过,否则失败。

下面是通用的CRC-ITU查找表以及计算和验证CRC的C语言程序:

// CRC-ITU查找表

const u16 crctab16[] =

{

0x0000, 0x1189, 0x2312, 0x329b, 0x4624, 0x57ad, 0x6536, 0x74bf,

0x8c48, 0x9dc1, 0xaf5a, 0xbed3, 0xca6c, 0xdbe5, 0xe97e, 0xf8f7,

0x1081, 0x0108, 0x3393, 0x221a, 0x56a5, 0x472c, 0x75b7, 0x643e,

0x9cc9, 0x8d40, 0xbfdb, 0xae52, 0xdaed, 0xcb64, 0xf9ff, 0xe876,

0x2102, 0x308b, 0x0210, 0x1399, 0x6726, 0x76af, 0x4434, 0x55bd,

0xad4a, 0xbcc3, 0x8e58, 0x9fd1, 0xeb6e, 0xfae7, 0xc87c, 0xd9f5,

0x3183, 0x200a, 0x1291, 0x0318, 0x77a7, 0x662e, 0x54b5, 0x453c,

0xbdcb, 0xac42, 0x9ed9, 0x8f50, 0xfbef, 0xea66, 0xd8fd, 0xc974,

0x4204, 0x538d, 0x6116, 0x709f, 0x0420, 0x15a9, 0x2732, 0x36bb,

0xce4c, 0xdfc5, 0xed5e, 0xfcd7, 0x8868, 0x99e1, 0xab7a, 0xbaf3,

0x5285, 0x430c, 0x7197, 0x601e, 0x14a1, 0x0528, 0x37b3, 0x263a,

0xdecd, 0xcf44, 0xfddf, 0xec56, 0x98e9, 0x8960, 0xbbfb, 0xaa72,

0x6306, 0x728f, 0x4014, 0x519d, 0x2522, 0x34ab, 0x0630, 0x17b9,

0xef4e, 0xfec7, 0xcc5c, 0xddd5, 0xa96a, 0xb8e3, 0x8a78, 0x9bf1,

0x7387, 0x620e, 0x5095, 0x411c, 0x35a3, 0x242a, 0x16b1, 0x0738,

0xffcf, 0xee46, 0xdcdd, 0xcd54, 0xb9eb, 0xa862, 0x9af9, 0x8b70,

0x8408, 0x9581, 0xa71a, 0xb693, 0xc22c, 0xd3a5, 0xe13e, 0xf0b7,

0x0840, 0x19c9, 0x2b52, 0x3adb, 0x4e64, 0x5fed, 0x6d76, 0x7cff,

0x9489, 0x8500, 0xb79b, 0xa612, 0xd2ad, 0xc324, 0xf1bf, 0xe036,

0x18c1, 0x0948, 0x3bd3, 0x2a5a, 0x5ee5, 0x4f6c, 0x7df7, 0x6c7e,

0xa50a, 0xb483, 0x8618, 0x9791, 0xe32e, 0xf2a7, 0xc03c, 0xd1b5,

0x2942, 0x38cb, 0x0a50, 0x1bd9, 0x6f66, 0x7eef, 0x4c74, 0x5dfd,

0xb58b, 0xa402, 0x9699, 0x8710, 0xf3af, 0xe226, 0xd0bd, 0xc134,

0x39c3, 0x284a, 0x1ad1, 0x0b58, 0x7fe7, 0x6e6e, 0x5cf5, 0x4d7c,

0xc60c, 0xd785, 0xe51e, 0xf497, 0x8028, 0x91a1, 0xa33a, 0xb2b3,

0x4a44, 0x5bcd, 0x6956, 0x78df, 0x0c60, 0x1de9, 0x2f72, 0x3efb,

0xd68d, 0xc704, 0xf59f, 0xe416, 0x90a9, 0x8120, 0xb3bb, 0xa232,

0x5ac5, 0x4b4c, 0x79d7, 0x685e, 0x1ce1, 0x0d68, 0x3ff3, 0x2e7a,

0xe70e, 0xf687, 0xc41c, 0xd595, 0xa12a, 0xb0a3, 0x8238, 0x93b1,

0x6b46, 0x7acf, 0x4854, 0x59dd, 0x2d62, 0x3ceb, 0x0e70, 0x1ff9,

0xf78f, 0xe606, 0xd49d, 0xc514, 0xb1ab, 0xa022, 0x92b9, 0x8330,

0x7bc7, 0x6a4e, 0x58d5, 0x495c, 0x3de3, 0x2c6a, 0x1ef1, 0x0f78,

};

// 计算给定长度数据的16位CRC。

u16 GetCrc16(const byte* pData, int nLength)

{

u16 fcs = 0xffff; // 初始化

while(nLength>0)

{

fcs = (fcs >> 8) ^ crctab16[(fcs ^ *pData) & 0xff];

nLength--;

pData++;

}

return ~fcs; // 取反

}

// 检查给定长度数据的16位CRC是否正确。

bool IsCrc16Good(const byte* pData, int nLength)

{

u16 fcs = 0xffff; // 初始化

while(nLength>0)

{

fcs = (fcs >> 8) ^ crctab16[(fcs ^ *pData) & 0xff];

nLength--;

pData++;

}

return (fcs == 0xf0b8); // 0xf0b8是CRC-ITU的"Magic Value"

}

使用字节型算法,前面出现的PPP帧FCS计算和验证过程,可用下面的程序片断实现:

byte ppp[13] = {0xFF, 0x03, 0xC0, 0x21, 0x04, 0x03, 0x00, 0x07, 0x0D, 0x03, 0x06, 0x00, 0x00};

u16 result;

// 计算CRC

result = GetCrc16(ppp, 11);

// 填写FCS,先低后高

ppp[11] = result & 0xff;

ppp[12] = (result >> 8) & 0xff;

// 验证FCS

if(IsCrc16Good(ppp, 13))

{

... ...

}

该例中数据长度为11,说明CRC计算并不要求数据2字节或4字节对齐。

至于查找表的生成算法,以及CRC-32等其它CRC的算法,可参考RFC 1661, RFC 3309等文档。需要注意的是,虽然CRC算法的本质是一样的,但不同的协议、标准所规定的初始化、移位次序、验证方法等可能有所差别。 来自:http://blog.21ic.com/user1/5730/archives/2009/61624.html

ie型lfsr_crc校验的实现(移位寄存器)相关推荐

  1. ie型lfsr_LFSR:线性反馈移位寄存器及其应用

    LFSR简介 LFSR(Linear-feedback shift register)是一种特殊的的移位寄存器,他的输入取决于其先前状态. LFSR的使用异常广泛,可以说涉及到方方面面,以下是Wiki ...

  2. ZSG-AP5甲烷传感器校验仪

    产品名称:ZSG-AP5甲烷传感器校验仪 产品品牌:中世光 技术洽谈:13260072458 产品简介:ZSG-AP5型甲烷传感器校验仪(以下称校验仪)是北京中世光科技有限公司主营产品,主要用于校验和 ...

  3. element表单校验

    通过一个案例来示意:element的表单校验 html部分: <template><div id="app"><el-form :model=&quo ...

  4. 寄存器与移位寄存器(数字电路)

    目录 寄存器的功能: 基本构成: 寄存器分类 移位寄存器 移位寄存器按功能分类 四位双向位移寄存器74194 移位寄存器的具体应用 1.环形计数器 2.扭环形计数器 3.一般位移型计数器 4.偶.奇数 ...

  5. HDLBITS笔记29:移位寄存器(包括4位移位寄存器,创建100位左/右旋转器,算术偏移,线性反馈移位寄存器等)

    题目1:4位移位寄存器(4-bit shift register) 构建一个具有异步复位.同步加载和使能功能的 4 位移位寄存器(右移位). reset:将移位寄存器重置为零. load: 加载数据[ ...

  6. HDLBits-Circuits学习小结(六)移位寄存器(shift registers)

    目录 1 简单的移位寄存器 1.1 构建一个4位移位寄存器 1.2 构建一个100位的左/右旋转器 1.3 建立一个64位算术移位寄存器 2 线性反馈移位寄存器(重要) 2.1 构建5位最大长度的Ga ...

  7. KDGK-703S便携式综合校验仪(毫伏发生器)

    一.产品简介 KDGK-703S便携式综合校验仪(毫伏发生器)是一种集数显式电压.电流.频率标准信号源.Pt100 铂电阻温度模拟标准信号源.数字式电压.电流.频率测量功能于一体的高精度.高分辨率.多 ...

  8. HDLbits练习答案(完) 只有你一个success啊

    目录 1.Verilog Language 1.1 Basics 1.1.1 Simple wire 1.1.2 four wires 1.1.3 Inverter 1.1.4 AND gate 1. ...

  9. 外设驱动库开发笔记2:AD8400系列数字电位器驱动

    一些时候我们需要在系统使用过程中改变某些电路电阻值以达到改变设定的目的,这时候我们就会使用电位器.在我们使用数字控制电路时多选择数字电位器.在这一篇我们就来设计AD8400系列数字电位器的驱动. 1. ...

最新文章

  1. 这个勒索软件也太菜了!
  2. poj1564 Sum It Up dfs水题
  3. 关于本次课堂代码的练习
  4. amoeba实现mysql主从读写分离_利用Amoeba实现MySQL主从复制和读写分离
  5. springboot - 应用实践(3)springboot的核心
  6. flash倒计时功能
  7. 初学 PS 手绘入门小技巧整理【持续更新】
  8. 盗窟手机小我私人撤军华强北:市场一年不如一年
  9. Content not from webpack is served from ‘/Users/xxxx/xxxx/xxxx/xxxx/public‘ directory
  10. 关于kali的pycharm创建快捷方式
  11. 利用Excel绘制超好看的直方图与正态分布曲线
  12. Windows PE的作用
  13. linux显卡用amd还是NVIDIA,Linux NVIDIA显卡驱动年度横评,不同于AMD,NVI
  14. php实例-微信第三方登录
  15. GitHub爆款项目,去马赛克软件Depix使用
  16. Hook android系统调用研究(一)
  17. Django2.1 translation详解
  18. Appserver的安装
  19. 2015年百度之星程序设计大赛 - 资格赛【题解】
  20. argparse.ArgumentError: argument --title: conflicting option string: --title

热门文章

  1. 一文带你深入通读Prometheus ,集群管理最全集锦
  2. 西交广州研究院计算机考研,2019双非考上西交计算机专硕考研简单分享感谢上帝...
  3. tutorabc怎么学英文?我来说说自己的真实感受.
  4. 树莓派搭建nas服务器的详细过程
  5. SpringBoot整合qq邮箱验证码使用
  6. 美团美图美柚三美技术团队齐聚厦门都聊了些啥
  7. 视频接口大全(HDMI、DVI、VGA、RGB、分量、S端子、USB接口)
  8. 定时器循环彩灯实验c语言,单片机实验6__定时器控制循环彩灯实验.doc
  9. RK3588 EVB1蓝牙休眠唤醒调试
  10. 怎样当好一名优秀的班组长?