一、代码

module decoder_38(a,b,c,out);input a,b,c; //输入端口output reg [7:0]out;  //高位在前,低位在后,共8位always@(a,b,c)begin   //敏感列表,当a,b,c中的一个发生变化就执行begin后面的语句。case({a,b,c})    //花括号将a,b,c拼接成一个信号3'b000:out = 8'b0000_0001; //always中的赋值必须是reg形式3'b001:out = 8'b0000_0010;3'b010:out = 8'b0000_0100;3'b011:out = 8'b0000_1000;3'b100:out = 8'b0001_0000;3'b101:out = 8'b0010_0000;3'b110:out = 8'b0100_0000;//3'b111:out = 8'b1000_0000;default:out = 8'b1000_0000;endcaseendendmodule
`timescale 1ns/1ps
module decoder_38_tb();reg signal_a, signal_b, signal_c;wire [7:0]out;decoder_38 decoder1(.a(signal_a),.b(signal_b),.c(signal_c),.out(out));initial beginsignal_a = 0; signal_b = 0; signal_c = 0;#200;signal_a = 0; signal_b = 0; signal_c = 1;#200;signal_a = 0; signal_b = 1; signal_c = 0;#200;signal_a = 0; signal_b = 1; signal_c = 1;#200;signal_a = 1; signal_b = 0; signal_c = 0;#200;signal_a = 1; signal_b = 0; signal_c = 1;#200;signal_a = 1; signal_b = 1; signal_c = 0;#200;signal_a = 1; signal_b = 1; signal_c = 1;#200;$stop;end
endmodule

二、问题

1.由于时延的存在,输出信号在0时刻的输出值是随机
2.由于输出8位 0000_0001变为0000_0010时,每个符号是单独改变的,所以会出现不同的跳变值。

FPGA(二)---38译码器相关推荐

  1. (95)FPGA二四译码器设计,面试必问(十九)(第19天)

    (95)FPGA二四译码器设计,面试必问(十九)(第19天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA二四译码器设计,面试必问(十九)(第19天) ...

  2. 记录使用Spartan-6 FPGA进行一次3-8译码器实验

    之前仔细的记录过一次PWM蜂鸣器的实验,见博文:全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制,这里就不再仔细的写下全过程了,因为都是一样的过程,只记录一下做这个小实验的思路即可. 3-8译码器 ...

  3. 学习笔记——3-8译码器实例(FPGA)

    一.3-8译码器简介 1.简介 译码是编码的逆过程.其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器.如果有n个二进制选择线,则最多可译码转换成2n个数据. ...

  4. fpga组合逻辑(4位比较器、8-3优先编码器、38译码器实现全减器、数据选择器实现逻辑函数等)

    目录 组合逻辑 VL11 4位数值比较器电路 VL12 4bit超前进位加法器电路 VL13 优先编码器电路① VL14 用优先编码器①实现键盘编码电路 VL15 优先编码器Ⅰ VL16 使用8线-3 ...

  5. 3-8 译码器设计实验--VHDL

    一.实验目的 (1)学习并掌握Quartus II的使用方法 (2)学习使用原理图方法进行逻辑设计输入,并初步了解可编程器件设计的全过程 (3)熟悉ALTERA公司EDA设计工具软件Quartusll ...

  6. (147)Verilog编程:使用3-8译码器实现全减器

    (147)Verilog编程:使用3-8译码器实现全减器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Verilog编程:使用3-8译码器实现全减器: 5)结束语. ...

  7. 查看38译码器的芯片手册

    学习51单片机,38译码器(D74LS138) 是我除了MCU之后接触到的第一个芯片,学习一个芯片的第一步就是查看它的芯片手册(是这样吧?)然后我就去看了一下它的芯片手册. 一. 三通道输入就是有三个 ...

  8. 用2片3-8译码器拼接成4-16译码器

    用2片3-8译码器拼接成4-16译码器 一.实验内容 1.用两片74138译码器拼接成4-16译码器 2.验证仿真电路的正确性 3.注意观察输出信号的毛刺 二.实验步骤 1,新建工程 2,新建bdf文 ...

  9. Verilog快速入门(13)—— 用3-8译码器实现全减器

    Verilog快速入门 (1) 四选一多路器 (2)异步复位的串联T触发器 (3)奇偶校验 (4)移位运算与乘法 (5)位拆分与运算 (6)使用子模块实现三输入数的大小比较 (7)4位数值比较器电路 ...

  10. 38译码器数码管c语言代码,38译码器驱动数码管电路图

    使用38译码器来驱动数码管来节省IO端口 (1)什么是38译码器? 38译码器有3个输入端口A.B.C和8个输出端口Y0-Y7.由输入端口控制输出端口的值 (2)为什么要使用38译码器 回想之前的驱动 ...

最新文章

  1. Coursera公开课笔记: 斯坦福大学机器学习第一课“引言(Introduction)”
  2. 英特尔物联网产业的布局中,优势和劣势都在哪?
  3. 2009年9月等考试题及答案51CTO站第一时间发布
  4. 只要200行JavaScript代码,就能把特斯拉汽车带到您身边
  5. c语言常考的程序,复试C语言常考趣味程序方案.doc
  6. poj 1325 Machine Schedule 解题报告
  7. 【优化算法】改进定步长与变步长LMS算法【含Matlab源码 629期】
  8. 单循环完成快速排序(C语言)
  9. 2021.6.27-参加青少年人工智能编程水平测试C++四级(通过)
  10. Win10下配置PHP环境变量
  11. 怎么让照片变年轻_PS高手让你变得更年轻
  12. iOS--TexiField相关
  13. 微信PC端技术研究(2)-拿下语音
  14. html map插件,jQuery谷歌地图插件maplace.js
  15. Elasticsearch 中的骚操作你确定不看看?
  16. Udesk全场景客服系统FAQ分享(202010期)
  17. 易学笔记-系统分析师考试-第9章 系统规划/9.5 成本效益分析技术/9.5.2 净现值分析
  18. 【IDM】IDM下载腾讯视频下载广告和分段的问题
  19. Python操作word手册,与打包生成word文件
  20. 卡罗拉 (COROLLA) - 雨刷/雨刮器频率控制

热门文章

  1. Windows 7 杜比软件安装
  2. 如何解决存在的1px问题?
  3. 计算机教研论文范文,计算机教研论文提纲格式模板 计算机教研论文提纲怎样写...
  4. springboot悠悠转二手网站毕业设计源码181134
  5. SpringBoot微信点餐系统—1、数据库设计
  6. 超简单!使用jQuery实现登录页面的“记住密码”功能
  7. c++模板参数自动推导
  8. linux img提取文件系统,镜像文件提取System.img解/打包工具及常用命令
  9. 中兴B860AV2.1-T_3.0_s905l3-b_uwe5621_线刷固件(附短接点示意图)
  10. 内容:提出共享储能背景下微网运营商与用户聚合商间的 Stackelberg 博弈模型,在 MATLAB 平台上进行算例仿真