JESD204B IP CORE结构
JESD204B支持速率高达12.5Gbps,IPcore可以配置为发送端(如用于DAC)或接收端(如用于ADC),每个core支持1-8 lane数据,若要实现更高lane的操作需要通过multi cores实现。

JESD204B transmitter
主要包含以下功能模块
1、AXI4-STREAM数据流接口;
2、TX lane逻辑模块包括:
- 加扰;
- 插入对齐参数;
- ILAS序列生成;
3、TX计数器;
4、JESD204B PHY;
5、RPAT 生成器,用于测试模式;
6、JSPAT 生成器,用于测试模式;
7、AXI4-LITE管理接口和控制、状态寄存器,调试时使用;

在逻辑设备和DAC之间,逻辑设备为JESD204B transmitter即发送端,DAC为JESD204B Receiver即接收端;在发送端,数据在传输层进行组帧,在数据链路层进行CGS、ILAS、数据传输等阶段。


1、在CGS阶段,接收端向发送端发起SYNC请求,然后发送端发送已知重复序列,当接收端检测到固定数量的K28.5后拉高SYNC,随后进入ILAS阶段。
2、ILAS阶段,在发端生成了初始通道对齐序列ILAS,主要用于对齐所有通道、验证链路参数等。
3、然后开始用户数据传输,最终数据将在物理层以固定速率将数据送入DAC。

JESD204B Receiver
主要包含以下功能模块
1、AXI4-STREAM数据流接口;
2、RX lane逻辑包括:
- 捕捉ILA;
- 解扰;
- 对齐参数检测;
3、LMFC状态机;
4、JESD204B PHY;
5、通道错误计数器;
6、AXI4-LITE管理接口和控制、状态寄存器;

在逻辑设备和ADC之间,ADC为JESD204B transmitter即发送端,逻辑设备为JESD204B Receiver即接收端;在接收端,数据在物理层以一定速率接受数据,通过SYSREF对齐帧和多帧前沿时钟相位。在数据链路层进行CGS、ILAS、数据传输等阶段。
1、在CGS阶段,接收端向发送端发起SYNC请求,然后发送端发送已知重复序列,当接收端检测到固定数量的K28.5后拉高SYNC实现代码组同步。
2、ILAS(包含通道对齐字符和链路参数)阶段,在发端生成了初始通道对齐序列ILAS发送到收端,该序列主要用于对齐所有通道、验证链路参数等。
3、然后开始接受用户数据,最终数据将在传输层进行解帧。

三、JESD IPcore 调用

 1、transmitter or receive:当发送数据时FPGA作为transmitter,如用于DAC、当接受数据时FPGA作为receiver,如用于ADC时。
2、LMFC buffer:buffer容量要大于一个多帧数据容量,大于8xFxK。
F: 每帧中字节数
K:每个多帧中帧数
3、lanes:每个link中数据通道数。
4、pattern gen:用于测试模式
5、clocking:AXI4-LITE CLOCK
①ultrascale系列:10M < f < 200M;
②7 series系列:与shared logic有关,若shared logic in core AXI4时钟同DRP;若shared logic in example design AXI4时钟10M < f < 200M;
6、SYSREF sample:当SYSREF和核时钟异步时,下降沿采样提供良好的时钟裕量。
7、Drive core clk:可选输入,当refclk等于coreclk时或refclk不在规定范围时使用。

1、shared logic:时钟与shared logic有关,若shared logic in core AXI4时钟同DRP;若shared logic in example design AXI4时钟10M < f < 200M;

1、SYSREF状态。
2、SCR:加扰。
3、F:每帧中字节数。
4、K:每个多帧中帧数,0-32可选,一般为32。
5、RE-SYNC:是否需求sysref 。

1、transmitter type:收发器类型。
2、lane rate:根据ADC/DAC配置计算得到。
3、ref clk:收发器参考时钟,可选。
4、PLL类型:quad锁相环和通道锁相环可选QPLL/CPLL。
5、DRP时钟:若shared logic in core AXI4时钟同DRP,shared logic in example DRP可选。
6、debug:用于调试

基于example design实现设计

example程序框图

链路传输需要PHY和204B IPcore,例如ADC和FPGA应用,在example design中已经将数据通过PHY和204B core接收,从AXI4-STREAM中输出数据流,那么剩下需要做的就是将数据解帧,实现数据和采样值之间映射。
FPGA接收数据解帧:例如根据ADS54J60手册,JESD204B参数配置为:L=4、M=2、F=4、S=4、N=16、N’=16、K=32。让Fs=980M,那么lane rate计算得9.8Gbps,core clk=lane rete/40=245M,GTH clk=245M。 其输出数据帧格式如下图所示。加粗样式

JESD204B的IPcore输出为每个Lane输出32bit并行数据,因此4个Lane的情况下,JESD204B输出数据的位宽为128bit。因此每个245MHz core时钟下,输出的数据格式如下图所示。

FPGA之JESD204B接口——总体概要 实例上相关推荐

  1. JESD204B接口调试记录2 - 资料

    LMK04828 芯片手册相关资料,在TI官网下载:LMK04828 数据表.产品信息和支持 | 德州仪器 TI.com.cn 除了手册,还需要下载和使用TICSPRO-SW,产生所需要的时钟芯片配置 ...

  2. FPGA高速数据采集设计JESD204B接口

    FPGA高速数据采集设计JESD204B接口的应用场景 作者:陈刀刀 本文为明德扬原创文章,转载请注明出处! 一,JESD204B应用的优缺点 接触过FPGA高速数据采集设计的朋友,应该会听过新术语& ...

  3. python fpga chips_基于FPGA实现JESD204B高速接口设计

    曹鹏飞 摘 要:JESD204B接口是高速ADC和DAC芯片采用的数据通信接口之一,具有传输速率高,抗干扰能力强,芯片间同步方便等优点.目前国内JESD204B 接口应用多由国外集成芯片提供,缺乏自主 ...

  4. 基于FPGA的USB接口控制器设计(VHDL)(上)

    今天给大侠带来基于 FPGA 的 USB 接口控制器设计(VHDL),由于篇幅较长,分三篇.今天带来第一篇,上篇,USB 接口简介 以及 USB 体系结构.话不多说,上货. 之前有关于 Verilio ...

  5. ku115上实现adc12dj3200 配置,jesd204b接口,单通道采集模式

    ku115上实现adc12dj3200 配置,jesd204b接口,单通道采集模式,204b设置为8lane,6G采样率,ad和时钟芯片配置代码,完整一套vivado2017.4工程 编号:34300 ...

  6. AD9680+JESD204B接口+FPGA FMC高速率数据采集板卡

    板卡概述: [FMC_XM155] FMC_XM155 是一款基于 VITA57.1 标准的,实现 2 路 14-bit.500MSPS/1GSPS/1.25GSPS 直流耦合 ADC 同步采集 FM ...

  7. python在律师上作中的实例_基于Python的律师信息查询接口调用代码实例

    基于Python的律师信息查询接口调用代码实例代码描述:基于Python的律师信息查询接口调用代码实例 代码平台:聚合数据 #!/usr/bin/python # -*- coding: utf-8 ...

  8. 基于FPGA的USB接口控制器设计(VHDL)(中)

    今天给大侠带来基于 FPGA 的 USB 接口控制器设计(VHDL),由于篇幅较长,分三篇.今天带来第二篇,中篇,USB通信原理.USB 系统开发以及设计实例.话不多说,上货. 之前有关于 Veril ...

  9. JESD204B接口调试【开篇】

    本系列文章主要研究JESD使用的相关问题,首先对JESD204B协议的基础知识进行简要介绍,并通过AD9625和Xilinx 609T FPGA硬件平台,介绍一种典型的JESD204B使用场景:并在此 ...

  10. HttpContext.Current.Session ,出现未将对象引用设置到实例上

    HttpContext.Current.Session ,出现未将对象引用设置到实例上  using System.Web.SessionState; public class xx: IHttpHa ...

最新文章

  1. Linux多线程的进阶理解
  2. boost::mpl模块实现insert_range相关的测试程序
  3. 【Qt】Qt资源应用--菜单图标
  4. Spring框架之权限管理
  5. 商城小程序源码开源版-可二开
  6. Elasticsearch 之 数据索引
  7. 2017年高频率的互联网校园招聘面试题
  8. 5G时代商业逻辑的五大思考
  9. ctr z撤回反向_Ctrl+Z 的反快捷键是什么
  10. 全国大学生恩智浦杯智能汽车竞赛
  11. ELK-日志收集工具nxlog
  12. 希捷硬盘固件修复工具_希捷3.5寸500G台式机硬盘磁头损坏后的数据恢复
  13. XCOM 导入条目显示正在使用中解决方案
  14. 【IoT毕业设计】树莓派开发板+机智云IoT+监测机器人
  15. QuerySet浅尝
  16. Linux一键脚本自动化安装项目环境
  17. html静态页面作业——海贼王中乔巴漫画(5页) 学生动漫网页设计模板下载 海贼王大学生HTML网页制作作品 简单漫画网页设计成品
  18. 【MySQL】创建数据库表
  19. 软件黑盒测试心得与经验
  20. [Python]简单抓取百度blog

热门文章

  1. 跟我一起走进内联汇编的世界
  2. windows平台下获取网卡MAC地址、硬盘序列号、主板序列号、CPU ID、BIOS序列号
  3. 用户管理系统 - 用户权限设计从入门到精通
  4. kindle上网看其他网址_原来kindle不止可以看书,快来看看体验版浏览器怎么玩!...
  5. kindle DXG 安装多看
  6. 幸运抽奖java_Java 幸运抽奖项目
  7. 国内O2O运营模式逐渐改变我们生活方式
  8. 基于Neo4j计算网络节点中心性(Closeness、betweenness Centrality)
  9. Win10如何玩Win7自带的游戏
  10. 测试theano有无使用GPU