前言

正文

设计文件

module div_clk(input    wire    sclk,input    wire    rst_n,output    wire    po_div_clk
);parameter    DIV_END = 8'd3;
reg        [7:0]    div_cnt;
reg                div_clk_o;//div_cnt
always @ (posedge sclk or negedge rst_n)if(rst_n == 1'b0)div_cnt <= 'd0;else if(div_cnt == DIV_END)div_cnt <= 'd0;else div_cnt <= div_cnt + 1'b1;always @ (posedge sclk or negedge rst_n)if(rst_n == 1'b0)div_clk_o <= 1'b0;else if(div_cnt == 'd1)div_clk_o <= 1'b1;else if(div_cnt == 'd3)div_clk_o <= 1'b0;assign po_div_clk = div_clk_o;endmodule

测试文件

`timescale 1ns/1nsmodule tb_div_clk;reg     sclk,rst_n;
wire    po_div_clk;initial beginsclk = 0;rst_n = 0;#100rst_n <= 1;
endalways # 10 sclk = ~sclk;div_clk div_clk_inst(.sclk                (sclk),.rst_n                (rst_n),.po_div_clk            (po_div_clk)
);endmodule

FPGA入门例程:时钟分频-用50MHz产生12.5MHz的4分频时钟相关推荐

  1. 4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;

    题目来源于牛客网,完整工程源码:https://github.com/ningbo99128/verilog 目录 VL37 偶数分频 VL40 奇数分频(占空比50%) VL42 奇数分频(任意无占 ...

  2. 【FPGA入门九】状态机实验

    文章目录 一.实验任务 二.实验过程 1.测试过程状态机 ①新建工程 ②设计计时器模块Verilog HDL文件 ③设计状态机切换模块Verilog HDL文件 ④设计顶层模块Verilog HDL文 ...

  3. FPGA入门指导手册-----------它是空的(不信你点开看看啊)

    FPGA入门指导手册 绪论 语法相关记录 函数申明 状态机 毛刺问题 实列操作 1. 点量一个LED灯(下载编译) flash下载操作(JIC文件下载) 2. ModelSim联合仿真操作手册(空) ...

  4. (05)FPGA入门条件

    (05)FPGA入门条件 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA入门条件 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)FPGA入 ...

  5. FPGA入门到实战-学习笔记

    ref:腾讯教育 FPGA入门到实战-录播课-上海V3学院 https://ke.qq.com/course/66019 老师:尤恺元 第1课 掌握Verilog HDL的高级编码知识 授课日期: 老 ...

  6. 弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

    弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩 IP核:知识产权核,指某一方提供的.形式为逻辑单元的可重用模块.IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以 ...

  7. Lattice系列FPGA入门相关0(Lattice与Altera、Xilinx对比及入门)

    需求说明:Lattice系统FPGA入门 内容       :Lattice与Altera.Xilinx对比 来自       :时间的诗 1.Lattice与Altera.Xilinx对比 latt ...

  8. Lattice系列FPGA入门相关1(Lattice系列FPGA简介)

    需求说明:Lattice系统FPGA入门 内容       :Lattice系列FPGA简介 来自       :时间的诗 1.为什么Lattice在进入FPGA市场的第一年就能取得这么好的成绩? 我 ...

  9. 【FPGA】初探FPGA —— 入门过程的分享

    #悬崖上的花,越芬芳越无常~ 终于又抽出时间搞这个FPGA入门系列了.这个也是我之前在B站做的视频,关于FPGA入门的过程经验的一个总结,去帮助大家帮助了解FPGA的入门. 南信大电子工程师协会慕课计 ...

最新文章

  1. Vim 下使用 Slimv(类似Slime) 轻松调试 Common Lisp
  2. ORIG1和ORIG2的运用
  3. POI操作Excel:cell的背景颜色类型
  4. PowerShell自动删除过期数据
  5. 设计模式学习笔记------简单工厂
  6. Java EE实战教程 servlet (一)
  7. android studio 登录与注册,Android Studio登录/注册系统构想
  8. xamarin android 设备,【Xamarin.Android】在Visual Studio中增强设备日志记录
  9. 这篇文章说说特征工程(反欺诈与征信变量)
  10. 图片Base64解码
  11. coreldraw橙子怎么画_CDR绘制橙子和冰块教程
  12. 网站嵌入YouTube视频
  13. 携程景区爬取 + 保存Excel
  14. 论文进展-关于小样本学习的一些思考和疑问
  15. 戴尔服务器显示器是什么接口,2012 年生产的 Dell Precision 工作站支持多少台显示器以及此类工作站上的显卡接口是什么样子?...
  16. 计算机音乐技术的发展,计算机网络技术对音乐发展的影响探析
  17. 新手上路,请大家多多关照~
  18. python实现非对称加密算法_Python使用rsa模块实现非对称加密与解密
  19. GitHub个人主页默认模板
  20. 我的世界服务器怎么创建无限的商店,[经济|付费]Shops —— 可以创建分类的商店插件![1.8-1.15]...

热门文章

  1. 全球AI研究报告发布!
  2. 光的双缝干涉强度和干涉条纹
  3. 新品体验:阿里云新一代本地SSD实例i4开放公测
  4. [递归]母牛的故事—算法例题
  5. 对抗性自动编码器系列--对抗自动编码器AAE的原理及实现-从任意随机数重建手写数字
  6. 精益生产如何影响成本管理
  7. 【CAD2018一打开就出现致命错误怎么办?】
  8. Warning: PHP Startup: Unable to load dynamic library 'D:\phpS p\php-7.0.12-nts\ext\php_igbinary.dll'
  9. tensorflow中的seq2seq的代码详解
  10. 域用户登录方法在计算机上不被允许,允许普通域用户登录域控制器