摘要: 怎样用modelsim做后仿(编译工具采用quatus) step1:在qurtus改变编译选项:     assignments->EDA tool setting:选择verilog还是vhdl。 step2:编译。你会在你的工程所在目录 看到一个simulation的目录,这里面有你生成的网表文件和标准延时文件。step3:在目录:\

怎样用modelsim做后仿(编译工具采用quatus)

step1:在qurtus改变编译选项:
     assignments->EDA tool setting:选择verilog还是vhdl。

 step2:编译。你会在你的工程所在目录 看到一个simulation的目录,这里面有你生成的网表文件和标准延时文件。

step3:在目录:\quartus\eda\sim_lib找到你选用器件对应的库文件,将库文件和网表文件以及延时文件和testbench文件放在同一目录,在modelsim里进行编译库文件、网表文件以及bench文件。

step4:编译成功后,然后进行load,在load design的时候,需要制定延时文件的路径,以及延时文件作用的区域,延时文件的左右区域就是testbench里面调用顶层文件取的名字。
 
step5:打开signal窗口(view->signal)和wave窗口(view->signal),将你希望仿真的信号添加进去。

Step:仿真。。。

利用ModelSim SE6.0C实现时序仿真!!!

1) 打开一个工程文件。

2) 打开Settings设置栏,选择EDA Tools Settings下的Simulation栏。在右边出现的设置栏中将“Tool name”的下拉菜单选择“ModelSim(Verilog)”(如果工程用VHDL语言实现,则可以选择“ModelSim(VHDL)”;如果ModelSim使用的是for Altera的专用版本,则可以选择“ModelSim-Altera(Verilog)”或“ModelSim-Altera(VHDL)”)。

另外在设置栏中还有其他的核选框。

  1. 如果选中“Maintain hierarchy”,则表示在做时序仿真时就能看到像在功能仿真的工程文件层次结构,可以找到定义的内部信号。因为在做后仿时,源文件中的信号名称已经没有了,被映射为软件综合后自己生成的信号名,观察起来很不方便。这个设置与ISE里综合右键属性的Keep Hierarchy选择YES的功能是一样的。
  2. 如果选中“Generate netlist for functional simulation only”,则表示只能做功能仿真。

3) 点击  “Start Compilation”按钮编译工程,完成之后在当前的工程目录下可以看到一个名为“Simulation”的新文件夹,下面的“ModelSim”文件夹下包括仿真需要的.vo网表文件和包含延迟信息的.sdo文件。

4) 打开ModelSim软件(或者在Quartus下“Settings->EDA Tools Setting->Simulation”出现的设置栏中选中“Run this tool automatically after compilation”,直接从Quartus下调用ModelSim软件),可以在当前工程目录下新建一个Project。在Project标签栏内点击右键,出现在快捷菜单中选择“Add to Project->Existing File…”。加入当前工程目录的“\Simulation\ModelSim\”路径下的.vo文件、TestBench文件和对应当前工程所选择器件的网表文件。

比如:当前工程选择的器件是Cyclone系列,Quartus安装目录在“C:\altera”路径下。因此需要在“C:\altera\quartus50\eda\sim_lib”路径下找到“cyclone_atom.v”的网表文件导入到ModelSim下的Project。如果是其他器件亦是如此,只要在此目录下找到对应有“_atom”后缀的.v文件。当然整个大前提是ModelSim SE版本已经加入了Alterta的仿真库,不过ModelSim-Altera版本就不会存在这样的问题。

5) 在出现的Project标签栏的快捷菜单中选择“Add to Project->Simulation Configuration”,会出现如上图所示的名为“Simulation1”的仿真配置。右键点击选择“Properties”,弹出的“Simulation Properties”对话框中有几个标签栏。

在“Design”标签栏内需要选择仿真的文件,也就是TestBench文件。
  
在“SDF”标签栏内需要选择包含延迟信息的文件,即Quartus下生成的.sdo文件。这里建议将.sdo文件与ModelSim的工程文件(.mpf文件)放在同一个目录下,不然ModelSim会报类似无法读取.sdo文件的错误。当加入.sdo文件时,需要在如下图所示的“Apply to Region”的编辑框内填写延迟信息文件作用的区域。

举个例子来说明:

  1. TestBench文件中定义了测试文件的Module名称为ConvEncdTestBnch。
  2. TestBench文件中调用待测顶层文件的实例名为top_encode_1。(top_encode top_encode_1(clk, rst, dataIn, serialData, parData);这是TestBench文件中调用顶层的语句)
  3. 所以在作用区域内需要填写“/ConvEncdTestBnch/top_encode_1”。

 
6) 右键点击名为“Simulation1”的仿真配置,快捷菜单中选择“Execute”命令,执行仿真。
7) 指定延时文件sdo 路径时,特别注意“域”的指定,否则用户会在timing 仿真中报load error “can’t find instance file”. 指定的域可以从sim标签页查看sim 的top 层,或要仿真的对象。另外,时序仿真时,一定要记住要把顶层top.v 用 top.vo 替换。同时要确保预编译的库中每个库的名字必需遵循altera的要求,比如:cylcone 的device 库必需命名为cycclone, maxii 的device命名为maxii, flex10Ke 和acex1K 都命名为flex10Ke,详细查看文档附件。Simulation.pdf
8) 提供一个testbench 的模板。

利用ModelSim SE6.0C实现功能仿真
功能仿真流程比较简单,一般不会出现什么问题,这里不再多述。

转载至:http://www.it610.com/article/1560137.htm

转载于:https://www.cnblogs.com/chengqi521/p/6113524.html

怎样用modelsim做后仿真相关推荐

  1. 使用ISE的modelsim进行后仿真时出现fail to find glbl in hiararchical name

    对于这个问题,xilinx官网有个类似的问题,如下: 给出的解释是:意思是说glbl在版本更新后,出现了变化,导致modelsim在仿真时出现错误. 而本次我遇到的问题解决办法就是在project下添 ...

  2. 如何使用ModelSim作前仿真與後仿真? (真oo无双前辈)

    Abstract 本文介紹使用ModelSim做前仿真,並搭配Quartus II與ModelSim作後仿真. Introduction 使用環境:Quartus II 8.1 + ModelSim- ...

  3. (筆記) 如何使用ModelSim作前仿真與後仿真? (SOC) (Quartus II) (ModelSim)

    Abstract 本文介紹使用ModelSim做前仿真,並搭配Quartus II與ModelSim作後仿真. Introduction 使用環境:Quartus II 8.1 + ModelSim- ...

  4. calibre版图验证及寄生参数的提取后仿真

    这一篇主要是说一下版图的Run DRC 和Run LVS验证和寄生参数的提取后仿真. 接反向器的版图设计后,开始Run DRC和LVS. 打开之前画好的layout,在菜单栏中点calibre-Run ...

  5. Quartus II与 ModelSim功能仿真与后仿真扫盲(转)

    时间:2007-04-20   作者:yangyh80@21cn.com 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿 ...

  6. Modelsim 后仿真操作步骤之二——用Modelsim单独进行后仿真

    后仿就是时序仿真,因为时序仿真是在综合之后故称后仿真.现将综合到后仿的简单步骤细列如下(并附图): 一,综合(所用工具是quartus) 1,建立工程,其他不变,只是在选择仿真工具时留意下图红圈处. ...

  7. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  8. modelsim仿真系列之基于ISE的独立进行后仿真(三)

    绪论 关于modelsim进行独立仿真Xilinx的IP核,我前边博客已经详细说明,不知道的可以看去看看有基础了再往下看. 我把自己的一个时钟倍频和时序仿真工程放在这里: 例子 第一步 ISE管脚约束 ...

  9. Verilog语法_5(状态机、modelsim自动化仿真高级部分和后仿真)

    September 23, 2016 作者:dengshuai_super 出处:http://blog.csdn.net/dengshuai_super/article/details/526393 ...

最新文章

  1. LVS负载均衡群集部署之——DR模式的介绍及搭建步骤
  2. leetcode中文版python_Python版LeetCode1.两数之和
  3. 这 10 个云计算错误,会让你的业务一蹶不振!
  4. ffmpeg调用directshow camera 并sdl渲染
  5. js实现关于分页的一种实现方式
  6. Okhttp 与 Retrofit的简单介绍及两者间的联系
  7. phonegap 总结
  8. JavaSE笔记(0)JavaSE学习路线
  9. matlab数字图像处理实验
  10. win10taskkill强行结束进程_win10系统强制结束进程命令的方案
  11. android opencv 识别水果,opencv – 在一盘水果中检测香蕉或苹果,成功率 90%. (见图)...
  12. 用python画一个树_如何用python简单画一棵树
  13. 麒麟系统开发笔记(七):在线安装软件后,提取其安装包,部署目标机使用离线软件包方式安装软件
  14. protected和包访问权限
  15. Unity 3d 中Debug.Log和Print的区别。
  16. 华为鸿蒙开发者大赛,超25000位开发者,华为举办首届鸿蒙开发者创新大赛颁奖典礼...
  17. a32.ansible 生产实战案例 -- 基于kubeadm安装kubernetes v1.22 -- 集群部署(一)
  18. CoralGloba珊瑚跨境的“全银行通道结算”,是创举还是噱头?
  19. Django框架初体验(二)
  20. Linux实验整理——vi编辑器使用、文件显示和处理命令

热门文章

  1. python官网下载步骤除了windows-python安装教程详解|python下载安装教程步骤
  2. python需要学多久才能找到工作-为什么Python适合初学者,一般要学习多久
  3. python读取文件多行内容-Python读取文件、大文件和指定行内容的几种方法
  4. python3安装-Python3的安装
  5. php和python学哪个-PHP Vs Python 学习哪个比较好?
  6. python 爬虫源代码-从零开始学Python网络爬虫_源代码.rar
  7. 爬虫python下载-python 下载 爬虫
  8. python简单代码演示效果-演示python如何创建和使用一个简单的元类的代码
  9. python编程词汇-基本 Python 词汇
  10. java开心农场安卓_开心农场之田园日记