在Vivado中通过set_clock_groups来约束不同的时钟组,它有三个选项分别是-asynchronous,-logically_exclusive和-physically_exclusive。

-asynchronous应用于异步时钟,如下图所示,CLKA和CLKB由两个外部独立的晶振提供,那么跨时钟域路径即REGA到REGB0之间的路径可采用如下约束:

create_clock–name CLKA –period 10.0 [get_ports CLKA]
create_clock–name CLKB –period 5.0 [get_ports CLKB]
set_clock_groups –async –group CLKA –group CLKB

上述约束等效于
set_false_path–from [get_clocks CLKA] –to [get_clocks CLKB]
set_false_path–from [get_clocks CLKB] –to [get_clocks CLKA]
但通常建议采用前者。

如果是如下图所示情形,即CLKA和CLKB由外部晶振提供,分别通过MMCM生成各自的时钟,此时{CLKA, clk0, clk1, clk2}与{CLKB, clka, clkb, clkc}是异步的,从而相应的约束变为:


create_clock–name CLKA –period 10.0 [get_ports CLKA]
create_clock–name CLKB –period 5.0 [get_ports CLKB]
set_clock_groups–async \
-group[get_clocks –include_generated_clocks CLKA] \
-group[get_clocks –include_generated_clocks CLKB]

考虑另一种情形:
Clk50与clk100为同步时钟,clk33和clk66为同步时钟,而两组同步时钟之间均为异步时钟,相应的约束该如何描述呢?
set_clock_groups–async –group {clk50 clk100} -group {clk33 clk66}
在set_clock_groups中-asynchronous可简写为-async。

Vivado时钟分组约束的三类应用相关推荐

  1. (78)Vivado设置时钟组约束

    (78)Vivado设置时钟组约束 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)Vivado设置时钟组约束 5)技术交流 6)参考资料 2 时序约束引言 1)什么是 ...

  2. (08)Vivado时钟约束

    (08)Vivado时钟约束 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)Vivado时钟约束 5)技术交流 6)参考资料 2 时序约束引言 1)什么是静态时序分析 ...

  3. SDC设计约束(1)——时钟相关约束

    SDC设计约束(1)--时钟相关约束 作者:夏风喃喃 参考:综合与时序分析的设计约束 -- Synopsys设计约束(SDC)实用指南 文章目录 SDC设计约束(1)--时钟相关约束 一. 时钟 1. ...

  4. (53)多路时钟复用FPGA如何约束二(片内时钟复用约束)

    1.1 多路时钟复用FPGA如何约束二(片内时钟复用约束) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)多路时钟复用FPGA如何约束二(片内时钟复用约束): 5)结束 ...

  5. (52)多路时钟复用FPGA如何约束一(片外时钟复用约束)

    1.1 多路时钟复用FPGA如何约束一(片外时钟复用约束) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)多路时钟复用FPGA如何约束一(片外时钟复用约束): 5)结束 ...

  6. (39)时钟抖动约束

    (39)时钟抖动约束 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)时钟抖动约束 5)技术交流 6)参考资料 2 时序约束引言 1)什么是静态时序分析? 通俗来说:在 ...

  7. (38)时钟延迟约束

    (38)时钟延迟约束 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)时钟延迟约束 5)技术交流 6)参考资料 2 时序约束引言 1)什么是静态时序分析? 通俗来说:在 ...

  8. 芯片设计中的时钟与约束

    1.同步电路与异步电路 首先来谈谈同步电路与异步电路.那么首先就要知道什么是同步电路.什么是异步电路? 对于同步时序电路,不同的文章有不同的说法,大致有下面的定义方法: ①对于比较严格的定义:一个电路 ...

  9. 静态时序分析简明教程(四)]时钟常规约束

    STA的时钟常规约束 一.写在前面 1.1 快速导航链接· 二.时钟的特征 三.create_clock 3.1 定义时钟周期 3.2 标识时钟源 3.3 命名时钟 3.4 指定占空比 3.5 同源多 ...

最新文章

  1. NuGet 无法连接到远程服务器-解决方法
  2. form表单提交,Servlet接收并读取Excel文件
  3. 计算机c盘属性不显示安全选项,win7系统中文件夹属性安全选项卡空白的解决方法...
  4. MFC对话框退出程序所调用的函数
  5. c++ log函数_高斯拉普拉斯算子(Laplacian of Gaussian, LoG)
  6. 节日仪式感海报PSD分层模板,快来pick一下!
  7. 第三:GitHub的使用(超详细)
  8. 索引书单(持续更新中)
  9. DeleteDC、ReleaseDC、DeleteObject - [Daliy APIs]
  10. h3c c语言题库,H3C认证试题
  11. matlab中小波工具箱,matlab小波分析工具箱使用教程
  12. flv格式php怎么播放不了,FLV格式视频不能在网页播放的解决办法
  13. 自制Unity小游戏TankHero-2D(2)制作敌方坦克
  14. cocosCreator 骨骼动画
  15. 【雅思大作文考官范文】——第八篇:recycling essay(垃圾回收)
  16. 微信公众号自定义菜单修改
  17. 【论文阅读】Unifying Knowledge Graph Learning and Recommendation
  18. php抓ik123音乐,IK123下载工具收藏下载网络DJ音乐教程
  19. win10任务栏显示“中/英“语言标识
  20. word嵌入对象依损坏_word模板损坏修复

热门文章

  1. 第十六届全国大学生智能车提问与回复 |7月10日
  2. Python图片操作-psd_tools:将psd文件转换成BMP
  3. Thonny开发环境中显示数据曲线
  4. hive处理json数据_(转)hive中解析json数组
  5. android调用web接口,Android调用webservice 接口
  6. 去重仅保留一条_清水出芙蓉,天然去雕饰,牛仔背带裤配针织上衣的清纯温柔穿搭...
  7. matlab 转换 tfrecord,训练数据集与TFRecord互相转换的两种方式
  8. php 接口的定义与实现,PHP接口定义与用法示例
  9. .java编写一个梯形类lader_能够完成相关计算above为高_【Java】编写一个应用程序计算梯形和圆形的面积...
  10. 最短路径树 php,CCNA-开放式最短路径优先(OSPF)真实考题